conception des circuits intégrés prédiffusés précaractérisés et sur mesure v2010

79
Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure Mohamed MASMOUDI Laboratoire d’Electronique, Microtechnologie & Communication Professeur à l’ENIS GE3 Mohamed Masmoudi

Upload: kaled-habib

Post on 26-Jun-2015

401 views

Category:

Documents


3 download

TRANSCRIPT

Page 1: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Conception des Circuits Intégrés Prédiffusés

Précaractérisés et sur Mesure

Mohamed MASMOUDI

Laboratoire d’Electronique, Microtechnologie & Communication

Professeur à l’ENIS

GE3 Mohamed Masmoudi

Page 2: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Plan du cours

Introduction

Chapitre I : Techniques de conception des circuits intégrés

Chapitre II : ASIC Semi Spécifique

Chapitre III :ASIC Spécifique

Chapitre IV : Méthodologies pour la conception des circuits intégrés

Chapitre V : Conception de Bibliothèques de cellules

GE3 Mohamed Masmoudi

Laboratoire d’Electronique, Microtechnologie & Communication

Page 3: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre I : Techniques de conception des circuits intégrés

- Classification des Circuits intégrés- Evolution des techniques de conception- Classification des ASICs- Comparaison des ASICs

Chapitre I

Techniques de conception des circuits intégrés

Plan du chapitre :

GE3 Mohamed Masmoudi

Page 4: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre I : Techniques de conception des circuits intégrés

GE3 Mohamed Masmoudi

Page 5: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre I : Techniques de conception des circuits intégrés

GE3 Mohamed Masmoudi

Page 6: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre I : Techniques de conception des circuits intégrés

GE3 Mohamed Masmoudi

Page 7: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre I : Techniques de conception des circuits intégrés

GE3 Mohamed Masmoudi

Page 8: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre I : Techniques de conception des circuits intégrés

Classification des ASICs

ASIC

ASICsemi-spécifique

Système sur puce "SoC"

Programmable "FPGA"

Sur mesure "Full Custum"

Pré-caractérisé "Standard Cell"

Pré-diffusé "Gate Array"

ASICspécifique

ASIC : Application Specific Integrated Circuit

GE3 Mohamed Masmoudi

Page 9: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre I : Techniques de conception des circuits intégrés

Comparaison des ASICs

GE3 Mohamed Masmoudi

Page 10: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre II : ASIC Semi Spécifique

- Circuits Programmables- Circuits prédiffusés- Comparaison

Chapitre II

ASIC Semi Spécifique

Plan du chapitre :

GE3 Mohamed Masmoudi

Page 11: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre II : ASIC Semi Spécifique

ASIC

ASICsemi-spécifique

Système sur puce "SoC"

Programmable "FPGA"

Sur mesure "Full Custum"

Pré-caractérisé "Standard Cell"

Pré-diffusé "Gate Array"

ASICspécifique

Programmable "FPGA"

GE3 Mohamed Masmoudi

Page 12: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre II : ASIC Semi Spécifique

GE3 Mohamed Masmoudi

Page 13: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre II : ASIC Semi Spécifique

GE3 Mohamed Masmoudi

Page 14: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre II : ASIC Semi Spécifique

GE3 Mohamed Masmoudi

Critères de choix de la technologie

Page 15: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre II : ASIC Semi Spécifique

GE3 Mohamed Masmoudi

Les principaux fabriquants

1. ALTERA 30%

2. XILINX 30%

3. LATTICE 10%

4. ACTEL 10%

5. Etc… 20%

Page 16: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre II : ASIC Semi Spécifique

GE3 Mohamed Masmoudi

Page 17: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre II : ASIC Semi Spécifique

GE3 Mohamed Masmoudi

Bloc Logique : CLB (Xilinx XC3000)

Page 18: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre II : ASIC Semi Spécifique

GE3 Mohamed Masmoudi

Technologie de Programmation

Anti-fusible

Flash

SRAM

Caractéristiques : encombrement minimal, non reprogrammable, technologie spécifique

Page 19: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre II : ASIC Semi Spécifique

GE3 Mohamed Masmoudi

Technologie de Programmation

Anti-fusible

Flash

SRAM

Caractéristiques : conserve la configuration, système autonome, technologie spécifique

EPROM

Page 20: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre II : ASIC Semi Spécifique

GE3 Mohamed Masmoudi

Technologie de Programmation

Anti-fusible

Flash

SRAM

Caractéristiques : volatile, reconfigurable dynamiquement, technologie spécifique

Page 21: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre II : ASIC Semi Spécifique

GE3 Mohamed Masmoudi

Page 22: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre II : ASIC Semi Spécifique

GE3 Mohamed Masmoudi

Page 23: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre II : ASIC Semi Spécifique

GE3 Mohamed Masmoudi

Page 24: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre II : ASIC Semi Spécifique

GE3 Mohamed Masmoudi

Page 25: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre II : ASIC Semi Spécifique

ASIC

ASICsemi-spécifique

Système sur puce "SoC"

Programmable "FPGA"

Sur mesure "Full Custum"

Pré-caractérisé "Standard Cell"

Pré-diffusé "Gate Array"

ASICspécifique

Pré-diffusé "Gate Array"

GE3 Mohamed Masmoudi

Page 26: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre II : ASIC Semi Spécifique

GE3 Mohamed Masmoudi

Page 27: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre II : ASIC Semi Spécifique

GE3 Mohamed Masmoudi

Page 28: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre II : ASIC Semi Spécifique

GE3 Mohamed Masmoudi

Page 29: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre II : ASIC Semi Spécifique

GE3 Mohamed Masmoudi

Page 30: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre III : ASIC Spécifique

- Circuits Précaractérisés- Circuits sur Mesure - Système sur puce

Chapitre III

ASIC Spécifique

Plan du chapitre :

GE3 Mohamed Masmoudi

Page 31: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre III : ASIC Spécifique

ASIC

ASICsemi-spécifique

Système sur puce "SoC"

Programmable "FPGA"

Sur mesure "Full Custum"

Pré-caractérisé "Standard Cell"

Pré-diffusé "Gate Array"

ASICspécifique

Pré-caractérisé "Standard Cell"

GE3 Mohamed Masmoudi

Page 32: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre III : ASIC Spécifique

GE3 Mohamed Masmoudi

Page 33: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre III : ASIC Spécifique

GE3 Mohamed Masmoudi

Page 34: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre III : ASIC Spécifique

GE3 Mohamed Masmoudi

Page 35: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre III : ASIC Spécifique

GE3 Mohamed Masmoudi

Page 36: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre III : ASIC Spécifique

GE3 Mohamed Masmoudi

Page 37: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

ASIC

ASICsemi-spécifique

Système sur puce "SoC"

Programmable "FPGA"

Sur mesure "Full Custum"

Pré-caractérisé "Standard Cell"

Pré-diffusé "Gate Array"

ASICspécifique

Chapitre III : ASIC Spécifique

Sur Masure "Full Custum"

GE3 Mohamed Masmoudi

Page 38: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre III : ASIC Spécifique

GE3 Mohamed Masmoudi

Page 39: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Définition architecture

Simulation fonctionnelle

Conception logique

Simulation logique

Conception électrique

Simulation électrique

Layout

ExtractionSimulation

Comparaison

Fabrication

Spécification du système

Procédure Réelle

Description comportementale

Description structurelle

Layout

Fabrication

Spécification du système

Procédure Idéale

Chapitre III : ASIC Spécifique

GE3 Mohamed Masmoudi

Page 40: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

ASIC

ASICsemi-spécifique

Système sur puce "SoC"

Programmable "FPGA"

Sur mesure "Full Custum"

Pré-caractérisé "Standard Cell"

Pré-diffusé "Gate Array"

ASICspécifique

Chapitre III : ASIC Spécifique

Système sur Puce "SoC"

GE3 Mohamed Masmoudi

Page 41: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre III : ASIC Spécifique

GE3 Mohamed Masmoudi

Page 42: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre III : ASIC Spécifique

GE3 Mohamed Masmoudi

Page 43: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Comparaison FPGA/GA/SC

Chapitre III : ASIC Spécifique

GE3 Mohamed Masmoudi

Page 44: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Migration FPGA ASIC

Les FPGA diminuent le temps de conception d'un ASIC

Les FPGA permettent d'obtenir rapidement le prototypage rapide d'un ASIC pour le tester sur carte.

Chapitre III : ASIC Spécifique

GE3 Mohamed Masmoudi

Page 45: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre III : ASIC Spécifique

GE3 Mohamed Masmoudi

Page 46: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre III : ASIC Spécifique

GE3 Mohamed Masmoudi

Page 47: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre III : ASIC Spécifique

GE3 Mohamed Masmoudi

Page 48: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre IV : Méthodologies pour la conception des circuits intégrés

- Outils de CAO- Flot de conception des circuits analogiques- Flot de conception pour les circuits numériques- Flot de conception des circuits mixtes

Plan du chapitre :

Chapitre IV

Méthodologies pour la conception des circuits intégrés

GE3 Mohamed Masmoudi

Page 49: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre IV : Méthodologies pour la conception des circuits intégrés

Rôle de la Conception Assistée par Ordinateur (CAO - CAD:Computer Aided Design / CAE:Computer Aided Engineering)

- Maîtrise des temps et des coûts.- Nécessité suite à la complexité croissante des circuits électroniques- Gérer les immenses volumes de données techniques- Analyses poussées (stress, fiabilité, thermique, …)- Réutilisabilité / Intellectual Property / Testabilité

GE3 Mohamed Masmoudi

Page 50: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre IV : Méthodologies pour la conception des circuits intégrés

Evolution : Méthodes de CAO

1950 : Premier système graphique (MIT)1969 : SPICE (Berkeley)#1970 : Dessin des masques à la main#1980 : Compilation de silicium (portes vers masques)#1985 : Synthèse logique / Naissance de VHDL#1990 : Synthèse de haut niveau#1995 : Synthèse architecturale

Méthodologie ASIC : juste par conception#2000 : Langage multi-disciplines industriel : VHDL-AMS

Analogique / Numérique / Autres (MOEMS)

Diminution des «Time-to-Market»et de la durée de vie des produits

Méthodologie / Gestion de projet TRES IMPORTANTGE3 Mohamed Masmoudi

Page 51: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre IV : Méthodologies pour la conception des circuits intégrés

Outils de CAO

- Simulateurs Analogiques : SPICE, ELDO, SPECTRE, …

- Simulateurs Logiques : SPICE, ELDO, SPECTRE, …

- Simulateurs Fonctionnels Comportementaux Logiques: MATLAB, VHDL, VERILOG, …

- Simulateurs Fonctionnels Comportementaux Analogiques et Mixtes : VHDL-AMS, VERILOG-AMS, …

GE3 Mohamed Masmoudi

Page 52: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre IV : Méthodologies pour la conception des circuits intégrés

Manuel

Synthèse Logique Compilation

de Si

LAYOUT

Flot de conception

GE3 Mohamed Masmoudi

Page 53: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre IV : Méthodologies pour la conception des circuits intégrés

Flot de conception

Conception algorithmique et synthèse de haut niveauGE3 Mohamed Masmoudi

Page 54: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre IV : Méthodologies pour la conception des circuits intégrés

Flot de conception des Circuits Intégrés Analogiques

Conception électrique

Simulation électrique

Layout

Extraction

Fabrication

Spécification du système

Netlist

Simulation électrique

Ok

GE3 Mohamed Masmoudi

Page 55: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre IV : Méthodologies pour la conception des circuits intégrés

Spécifications

Modélisation haut niveau

Analyse de testabilité

Modélisation RTL

Synthèse logique

Placement-Routage DiagnosticDRC + Extraction

Analyse de délais

Performances

Simulation

Vérification

Diagnostic

Diagnostic

Diagnostic

Diagnostic

Fabrication d’un prototype

oui

non

Flot de conception des Circuits Intégrés numériques

GE3 Mohamed Masmoudi

Page 56: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre IV : Méthodologies pour la conception des circuits intégrés

Synthèse logique

simulation abstraite simulation simulation abstraite simulation logicologico--temporelletemporelle simulation simulation éélectriquelectrique

HDLHDL GateGate levellevel Physique Physique levellevelCompilation de silicium+ placement/routageif a=‘1’ then

r = velse

r = not (p)end if

r2 1

a

v

p

PNN

synthèse logique

GE3 Mohamed Masmoudi

Page 57: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre IV : Méthodologies pour la conception des circuits intégrés

Flow de Conception Typique pour FPGA

GE3 Mohamed Masmoudi

Page 58: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre IV : Méthodologies pour la conception des circuits intégrés

Modélisation Analogique et Mixte

C’est une description comportementale des circuits analogiques et mixtes

VHDL-AMS, VERILOG-AMS représentent les principaux outils de modélisation et de simulation analogique et mixte.

Nécessité d’avoir une bibliothèque suffisamment riche de modèles comportementaux : AOP, Filtres, CAN, CNA, PLL, VCO, etc….

GE3 Mohamed Masmoudi

Page 59: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre IV : Méthodologies pour la conception des circuits intégrés

Flot de conception des Circuits Intégrés mixtes

Partie Analogique Partie Numérique

Layout

partie Analogique

Convertisseur A/N

Layout

du convertisseur A/N

Bibliothèque Numérique

Architecture Partie Numérique

Vérification & test

Vérification & test

CircuitNiveau transistor

BibliothèqueModèles Analogiques

Modèle partie

Analogique

Modèle du Convertisseur A/N

Synthèse de la partie Numérique

GE3 Mohamed Masmoudi

Page 60: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre IV : Méthodologies pour la conception des circuits intégrés

Un environnement de CAO mixte : Tanner

GE3 Mohamed Masmoudi

Page 61: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre IV : Méthodologies pour la conception des circuits intégrés

Un environnement de CAO mixte : Tanner

GE3 Mohamed Masmoudi

Page 62: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre IV : Méthodologies pour la conception des circuits intégrés

Synthèse Analogique

Jusqu’à ce jour la synthèse des circuits analogiques est manuelle en attendant l’apparition du premier outil de synthèse analogique.

Plusieurs labo de recherche, dans le monde, travaillent pour développer des outils de synthèse analogique.

GE3 Mohamed Masmoudi

Page 63: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre V : Conception de Bibliothèques de cellules

- Choix des critères pour la conception- Développement d’un Design Kit (Technologie CMOS 0.35µm Puits N)- Exemples de cellules logiques- Exemples de cellules analogiques- Plots d’E/S

Plan du chapitre :

Chapitre V

Conception de Bibliothèques de cellules

GE3 Mohamed Masmoudi

Page 64: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre V : Conception de Bibliothèques de cellules

Choix des critères de Conception

- Surface minimale

- Consommation minimale

- Fréquence maximale

GE3 Mohamed Masmoudi

Page 65: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre V : Conception de Bibliothèques de cellules

Design Kit (Technologie CMOS 0.35µm Puits N)

Caractéristiques de la Technologie

GE3 Mohamed Masmoudi

Page 66: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre V : Conception de Bibliothèques de cellules

Design Kit (Technologie CMOS 0.35µm Puits N)

GE3 Mohamed Masmoudi

Page 67: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre V : Conception de Bibliothèques de cellules

Design Kit (Technologie CMOS 0.35µm Puits N)

GE3 Mohamed Masmoudi

Page 68: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre V : Conception de Bibliothèques de cellules

Design Kit (Technologie CMOS 0.35µm Puits N)

Inverseurs

GE3 Mohamed Masmoudi

Page 69: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre V : Conception de Bibliothèques de cellules

Design Kit (Technologie CMOS 0.35µm Puits N)

NAND (2 entrées)

NOR (2 entrées)

GE3 Mohamed Masmoudi

Page 70: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre V : Conception de Bibliothèques de cellules

Design Kit (Technologie CMOS 0.35µm Puits N)

CAN (8 bits)

GE3 Mohamed Masmoudi

Page 71: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre V : Conception de Bibliothèques de cellules

Design Kit (Technologie CMOS 0.35µm Puits N)

DAC (8 bits)

GE3 Mohamed Masmoudi

Page 72: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre V : Conception de Bibliothèques de cellules

Design Kit (Technologie CMOS 0.35µm Puits N)

DAC (10 bits)

GE3 Mohamed Masmoudi

Page 73: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre V : Conception de Bibliothèques de cellules

Plots d’E/S analogiques

Design Kit (Technologie CMOS 0.35µm Puits N)

GE3 Mohamed Masmoudi

Page 74: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre V : Conception de Bibliothèques de cellules

Plots d’E/S analogiques

Vdd

GndPad

Core Gnd

Vdd

Pad

Core

In

Vdd

GndPad

Core In

Vdd

GndPad

Core

Design Kit (Technologie CMOS 0.35µm Puits N)

GE3 Mohamed Masmoudi

Page 75: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre V : Conception de Bibliothèques de cellules

Plots d’E/S analogiques

Pad "Gnd" Pad "In" Pad "In" Pad "In" Pad "In" Pad "In" Pad "In" Pad "Vdd"

D

D D

D

D

D DR

Design Kit (Technologie CMOS 0.35µm Puits N)

GE3 Mohamed Masmoudi

Page 76: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre V : Conception de Bibliothèques de cellules

Plots d’E/S logiques

Design Kit (Technologie CMOS 0.35µm Puits N)

GE3 Mohamed Masmoudi

Page 77: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre V : Conception de Bibliothèques de cellules

Plots d’E/S logiques

In

Vdd

GndPad

Core In

Vdd

GndPad

Core

Out

Pad

Core

Plot d’entrée :

Plot de sortie :

Design Kit (Technologie CMOS 0.35µm Puits N)

GE3 Mohamed Masmoudi

Page 78: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre V : Conception de Bibliothèques de cellules

Plots d’entrées

Design Kit (Technologie CMOS 0.35µm Puits N)

GE3 Mohamed Masmoudi

Page 79: Conception des Circuits Intégrés Prédiffusés Précaractérisés et sur Mesure v2010

Chapitre V : Conception de Bibliothèques de cellules

Plots de sortie

Design Kit (Technologie CMOS 0.35µm Puits N)

GE3 Mohamed Masmoudi