afficheur sept segments

Upload: zak

Post on 07-Apr-2018

218 views

Category:

Documents


0 download

TRANSCRIPT

  • 8/3/2019 Afficheur Sept Segments

    1/2

    libraryIEEE;useIEEE.std_logic_arith.all;useIEEE.std_logic_1164.ALL;

    ENTITYa7sISPORT(

    p :INbit_vector(0to6);

    s1,s2,s3,s4,s5,s6,s7:OUTbit);

    ENDa7s;

    ARCHITECTUREarchiOFa7sISsignalseg:bit_vector(6DOWNTO0);BEGIN

    PROCESS(p)BEGINCASEpISWHEN"0000000"=>segsegsegsegsegsegsegsegsegsegsegsegsegsegsegsegseg

  • 8/3/2019 Afficheur Sept Segments

    2/2

    ENDarchi;