le mémo de polytech’marseilleusers.polytech.unice.fr/~pmasson/enseignement/memo polytech...

92
Le Mémo de Polytech’Marseille Micro-électronique & Télécommunications Edition 2006/2007

Upload: phungthien

Post on 11-Jan-2019

227 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille

Micro-électronique & Télécommunications

Edition 2006/2007

Page 2: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1
Page 3: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Avant propos

Le ″Mémo de Polytech’Marseille″ est un aide mémoire qui regroupe les résumés de plusieurs cours sur les trois années de l’école ainsi que des notions générales (fonctions mathématiques, unités de mesure…). Cet aide mémoire a pour vocation :

• De vous aider lors des travaux dirigés et pratiques • De vous accompagner tout au long de votre vie professionnelle

L’équipe pédagogique du département Micro-électronique et Télécommunications espère que vous utiliserez ce Mémo sans modération.

Pascal MASSON Directeur des études Dépt. Micro-électronique et Télécommunications

Page 4: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 2

SOMMAIRE Fiches de synthèse des cours Analyse numérique................................................................................................................ 4 Anglais................................................................................................................................... 6 Architecture des Ordinateurs................................................................................................. 8 Analyse et synthèse des filtres actifs analogiques............................................................... 10 Circuits actifs linéaires ........................................................................................................ 12 Circuits RF & HF ................................................................................................................ 14 Commande des Procédés Discontinus................................................................................. 16 Commande Numérique des Processus ................................................................................ 18 Compatibilité Electromagnétique........................................................................................ 20 Conception des circuits intégrés numériques - Structures fondamentales .......................... 22 Convertisseurs CNA & CAN .............................................................................................. 24 Effets quantiques dans les composants MOS fortement sub-microniques ......................... 26 Electronique......................................................................................................................... 28 Hyperfréquences.................................................................................................................. 30 Interconnexions en électronique rapide............................................................................... 32 Ondes électromagnétiques................................................................................................... 34 Physique des composants I & II .......................................................................................... 36 Physique des composants III ............................................................................................... 40 Physique des Semi-Conducteurs ......................................................................................... 42 Signaux aléatoires................................................................................................................ 44 Signaux et Systèmes Continus ............................................................................................ 46 Systèmes Asservis linéaires................................................................................................. 48 Systèmes Combinatoires et Séquentiels .............................................................................. 50 Technologie des composants............................................................................................... 52 Test des Circuits Intégrés Numériques................................................................................ 54 Transmission du signal II .................................................................................................... 56 Transmissions numériques .................................................................................................. 58 VHDL – Langage VHDL pour la synthèse numérique...................................................... 60 VHDL – Simulations logiques ............................................................................................ 62

Page 5: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 3

Notions générales ................................................................................................ Alphabet Grec...................................................................................................................... 64 Coordonnées ........................................................................................................................ 65 Constantes............................................................................................................................ 66 Décomposition d’une fraction rationnelle en p en éléments simples.................................. 67 Déplacement, longueur et volume élémentaires ................................................................. 68 Divergence et rotationnel d’un champ vectoriel ................................................................. 69 Fonctions trigonométriques................................................................................................. 70 Gradient d’un champ scalaire.............................................................................................. 71 Préfixes ................................................................................................................................ 72 Primitives particulières de quelques fonctions courantes ................................................... 73 Table des transformées de Laplace et transformées en Z ................................................... 74 Tableau périodique des éléments ........................................................................................ 75 Unités de mesures................................................................................................................ 76 Notes .................................................................................................................................... 79

Page 6: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 4

Analyse numérique

Algèbre linéaire

Interpolation

Approximation

Page 7: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 5

Intégration

Dérivation

Racine d’équations non linéaires

Page 8: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 6

Anglais

Vocabulaire En plus du vocabulaire niveau bac il faut acquérir le vocabulaire basique de l'entreprise dans tous ses domaines.

Grammaire Les temps :

a. le présent simple pour exprimer une habitude: He plays tennis twice a week. b. le présent continu pour exprimer une action en cours. Look! He is playing tennis. ou une action

future programme I am playing tennis this afternoon. c. Le simple past pour exprimer une action terminée et date. He bought his car 2 years ago d. Le present perfect simple pour une action terminée non-datée. I have painted the room. I have

never been to the States. e. Le present perfect continu pour une action pas terminée. I have been painting the room. I have

been playing tennis for 1 hour / since 10am f. Les conditionnels:

i. Present: If I had enough money I would buy a new car ii. Passé: If I had had enough money I would have bought a new car

Les verbes irréguliers : to see, saw, seen….. Les auxiliaires modaux : must/have to, can/be able to, should, ought to, may, might mustn't, needn't,… Les "phrasal verbs" les plus utilisés: to break down, to give up, to look after, to carry on, to blow up…. Les verbes suivis de to / ing: to go on + ing, to want to+ inf… Les relatifs: who, whom, which, that, whose… Les conjonctions de base: although, unless, if, even though…

Page 9: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 7

Page 10: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 8

Architecture des Ordinateurs

Architecture en couches

Level 0 : Couche portes logiques fabriquées en technologie CMOS.

Level 1 : Couche µ-architecture composée d’un chemin de données (ALU & Registres) et d’un séquenceur

Level 2 : Couche correspondante au jeu d’instructions (ISA) interprétées par le séquenceur (microprogramme) ou exécuté directement par le matériel

Level 3 : Reprend la plupart des instructions de la couche ISA avec en plus des instructions spécifiques pour la gestion de la mémoire

Level 4 : Langage d’assemblage (forme symbolique de la couche ISA)

Level 5 : Langage de haut niveau.

Types d’architecture

Von Neumann (Princeton) Harvard

CPUMémoireDonnées & Instructions CPUMémoireDonnées & Instructions

CPUMémoire

DonnéesMémoire

InstructionsCPUMémoireDonnées

MémoireInstructions

Pipe-line

S1 : Recherche des instructions en mémoire principale

S2 : Décode les instructions

S3 : Recherche des opérandes en mémoire principale ou dans un registre si nécessaire

S4 : Exécution par le chemin de données de l’instruction

S5 : Transfert du résultat en mémoire principale ou dans un registre

Architecture RISC / CISC

RISC : Reduce Instruction Set Computer

Nombre réduit d’instructions qui s’exécutent en un seul cycle d’horloge du chemin de données

CISC : Complex Instruction Set Computer

Nombre important d’instructions complexes qui doivent être interprétées en instruction simples

Page 11: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 9

Bus

PCI : Peripheral Component Interconnect

ISA : Industry Standard Architecture

IDE : Integrated Drive Electronics

SCSI : Small Computer System Interface

USB : Universal Serial Bus

La gestion du fonctionnement des différents bus s’appelle l’Arbitrage de Bus.

Exemple de couche µ-Architecture

1. Recherche des instructions IFU

2. Décodage des instructions

3. Mise en file d’attente des instructions à exécuter

4. Recherche des opérandes

5. Exécution par l’unité arithmétique et logique

6. Ecriture du résultat

7. Accès à la mémoire principale

Page 12: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 10

Analyse et synthèse des filtres actifs analogiques

Fonction d'approximation An(Ω) =10 log[1 + ε2Tn2 (Ω)]

Ondulation dans la bande passante ε = 100,1Amax −1 Approximation polynomiale An(Ω) =10 log[1 + ε2 (Ω2

m=1

n / 2

∏ − Ω0m2 )2 ] ou

An(Ω) =10 log[1 + ε2Ω2 (Ω2

m=1

(n−1)/ 2

∏ − Ω0m2 )2]

Approximation non polynomiale

An(Ω) =10 log[1 + ε2(Ω2

m=1

n/ 2

∏ − Ω0m2 )2

(Ω2

k =1

m

∏ − Ω∞k2 )2

] ou

An(Ω) =10 log[1 + ε2Ω2(Ω2

m=1

(n−1)/ 2

∏ − Ω0m2 )2

(Ω2

k=1

m

∏ − Ω∞k2 )2

]

Equation de Feldtkeller modifiée 1 + ε2Tn2 (P) = 0

Approximation de Butterworth An(Ω) =10 log[1 + ε2Ω2n ]

Approximation deTchebychev de type I An(Ω) =10 log[1 + ε2Cn2 (Ω)]

Approximation de Legendre An(Ω) =10 log[1 + ε2 Ln (Ω2 )]

Approximation de Cauer An(Ω) =10 log[1 + ε2 Zn, k2 (Ω)]

Approximation deTchebychev de type II An(Ω) =10 log[1 + ε2 Cn2(Ωs)

Cn2 Ωs

Ω⎛ ⎝

⎞ ⎠

]

Filtre passe-bas du second ordre sans zéro de transmission H(p) = K0

ω02

p2 + ω0

Qp +ω0

2

Filtre passe-bas du second ordre avec zéro de transmission

2 2

02 20

0

( ) pH p Kp p

Q

ω ω ωω ω

∞∞ ∞

+= >

+ +

Filtre passe-haut du second ordre sans zéro de transmission H(p) = K∞

p2

p2 + ω 0

Qp + ω0

2

Filtre passe-haut du second ordre avec zéro de transmission

2 2

02 20

0

( ) pH p Kp p

Q

ω ω ωω ω

∞∞ ∞

+= <

+ +

Filtre passe-bande du second ordre

H(p) = Km

ω 0

Qp

p2 + ω0

Qp + ω0

2

Filtre coupe-bande du second ordre 2 20

02 20

0

( ) pH p Kp p

Q

ωω ω

+=

+ +

Page 13: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 11

Sensibilité d'une cellule du second ordre SxH = Sx

K + Sω 0

H Sxω 0 + SQ

H SxQ

SQH (ω ) =

(ωω0

Q)2

(ω2 −ω02 )2 + (ωω0

Q)2

Sω0

H (ω ) =2(ω 2 −ω0

2 )ω 2 + (ωω0

Q)2

(ω 2 − ω02 )2 + (ωω 0

Q)2

Filtres intégrés à capacités commutées Interrupteurs MOS ron =

LµCoxW(VGS − VT )

roff =1

λIDS

Intégrateur DDI 1

1( )1

oo cC zH zC z

−= −−

Intégrateur LDI 1/ 2

1( )1

oe cC zH zC z

−= −−

Intégrateur FEDI Hoo (z) =

Cc

Cz−1

1− z−1 Intégrateur FLDI

Hoe (z) =Cc

Cz−1/ 2

1 − z −1

Intégrateur BEDI Hoo (z) = −Cc

C1

1 − z −1 Intégrateur BLDI Hoe (z) = −

Cc

Cz −1/ 2

1− z−1

Transformation TAB p =

2Te

1 − z−1

1 + z−1 Transformation BET

p =1 − z−1

Te

Transformation FET p =

1Te

1 − z−1

z −1 Transformation LDI

p =1Te

1 − z−1

z −1/2

Injection d'horloge (clock feedthrough) vo = vo( )idéale + ∆vo( )CH + ∆vo( )OL

Filtres intégrés Gm-C OTA intégré

Io = ID1− ID2

= (V1 − V2 ) 2βIabc 1 −β

2Iabc

(V1 − V2)2 β = µCoxW / 2L ox abc

mC WIg

Biquad utilisant deux OTA Vo (p) =

gm1gm2

VA(p) + C1gm 2pVB (p) + C1C2 p2VC ( p)

gm1gm2

+ C1gm2p + C1C2 p2

Biquad utilisant trois OTA Vo (p) =

gm1gm2

VA(p) + C1gm 2pVB (p) + C1C2 p2VC ( p)

gm1gm2

+ C1gm3p + C1C2 p2

Linéarisation des OTA par contre-réaction (source degeneration) Io =

βIss

2(V1 − V2 )

a1 −

βa2Iss

(V1 − V2)2

Linéarisation des OTA par commande adaptative I'o = 2βIss (V1 − V2)

Linéarisation des OTA par paire pseudo-différentielle Io =

β2

(V1 − V2 )Vtune

Linéarisation des OTA par paire différentielle à couplage croisé Io ≈

β1I1

2−

β2 I2

2⎡

⎣ ⎢

⎦ ⎥ (V1 − V2 )

Filtres intégrés MOS-C Intégrateur MOS-C-AO linéarisé

H(p) =K(Vc1

− Vc 2)

Cp

Stabilisation des filtres intégrés MOS-C par algorithme LMS

∂wn

∂t= µ d(t) − y(t)[ ]gn (t)

dVQ

dt= µ[Vréf − VBP ]VBP

Page 14: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 12

Circuits actifs linéaires

Amplificateurs non idéaux

Equations de tension

i i iv Z i= o v s o ov A v Z i= +

Equations de courant

i i ii Y v= o i s o oi Ai Y v= +

Equations de transrésistance

i i ii Y v= o m s o ov R i Z i= +

Equations de transconductance

i i iv Z i= o m s o oi G v Y v= +

Amplificateurs idéaux

Amplificateur de tension

iZ = ∞ 0oZ = o v iv A v=

Amplificateur de courant

0iZ = oZ = ∞ o i ii Ai=

Amplificateur de transrésistance

0iZ = 0oZ = o m iv R i=

Amplificateur de transconductance

iZ = ∞ oZ = ∞ o m ii G v=

Amplificateur différentiel

Mode commun

1 21 ( )2cv v v= +

Mode différentiel

1 21 ( )2dv v v= −

Tensions de sortie

01 1 1 1D c c d dv V A v A v= + +

02 2 2 2D c c d dv V A v A v= + +

Facteur de discrimination dD

c

AFA

=

1D D DV V V= + ∆

2D D DV V V= − ∆

1c c cA A A= + ∆

2c c cA A A= − ∆

1d d dA A A= + ∆

2d d dA A A= − ∆

Facteur de réjection dR

c

AFA

=∆

Amplificateur opérationnel à contre-réaction de tension 1 2( )oV A V V= −

Amplificateur opérationnel à contre-réaction de courant Vo = RMI

Amplificateur opérationnel de transconductance Io = gm(V1 − V2 )

Page 15: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 13

Amplificateur opérationnel à contre-réaction de tension

Vo =A

1 + AR1

R1 + R2

V2 −

AR2

R1 + R2

1 + AR1

R1 + R2

V1

Amplificateur opérationnel à contre-réaction de courant

Vo =RM (

1R1

+1R2

)

1 + RM

R2

V2 −

RM

R1

1+ RM

R2

V1

v1 -

+

R1

R2

vov2

V1

V2

Vo

Bruit thermique e th = 4kTR∆f k = 1,30 ⋅10−23 J / K N = 4kTR

Bruit de grenaille e sn = kT

2∆fqIdc

q = 1,6 ⋅10 −19 C

Facteur de bruit NF =10 log(SNR)in

(SNR)out

Polarisation du transistor bipolaire

( )CE CC C C E B CV V R I R I I= − − + ( )BE BB B B E B CV V R I R I I= − − + Polarisation du JFET

Polarisation automatique ( )DS DD D S DV V R R I= − + GS S DV R I= −

Polarisation par diviseur de tension ( )DS DD D S DV V R R I= − + GS GG S DV V R I= −

Polarisation du MOS

Polarisation du MOS à enrichissement DS DD D DV V R I= − GS DSV V= 2( )D GS TI K V V= −

Polarisation du MOS à appauvrissement DS DD D DV V R I= − 0GSV =

Paramètres hybrides du transistor bipolaire Montage base commune Montage collecteur commun

h11b =h11e

1 − h12 e + h21e + ∆he h12 b =

∆he − h12e

1 − h12e + h21e + ∆he h11c = h11e

h12 c = 1− h12 e

h21b = −∆he + h21e

1 − h12e + h21e + ∆he h22b =

h22 e

1− h12 e + h21e + ∆he h21c = −(1+ h21e) h22c = h22e

Page 16: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 14

Circuits RF & HF

Paramètres et matrice [S]

[S] Zg2

Eg2

Zg1

Eg1 V1 V2

I1 I2

a1

b1

a2

b2

1 11 1 12 2

2 21 1 22 2

b S a S ab S a S a

= +⎧⎨ = +⎩

0aj

biSiiai =

= ; 0

iij ai

j

bSa =

=

1 11 12 1

2 21 22 2

b S S ab S S a

⎡ ⎤ ⎡ ⎤ ⎡ ⎤=⎢ ⎥ ⎢ ⎥ ⎢ ⎥

⎣ ⎦ ⎣ ⎦ ⎣ ⎦

Matrice [S] généralisée : [S’]

' 12 2111 11

221L

L

S SS SS

Γ= +

− Γ

ΓL coefficient de réflexion de la charge

' 12 2122 22

111G

G

S SS SS

Γ= +

− Γ

Γg coefficient de réflexion du générateur

La stabilité

⎪⎩

⎪⎨⎧

<Γ∀

<Γ∀

122111

'

'

SS

G

L

quadripôle inconditionnellement stable

Facteur de Rollet (stabilité)

2112222111 222

SSDSS

K+−−

=

21122211 SSSSD −=

Cas n°1 :

1=K => adaptation non réalisable : 1=Γ=Γ LG

Cas n°2 :

1>K • K>1 et ⎢D ⎢<1 amplificateur inconditionnellement stable • K>1 et ⎢D ⎢>1 la stabilité est conditionnelle • K<-1 naturellement instable

Cas n°3 :

⎢K ⎢<1 La stabilité est conditionnelle

Cercles de stabilité

22

22

**

22

2112

22)1122(

1

DS

SSR

DSDSS

oùR

L

L

LL

−=

−=Ω

>−Ω

Réels

O

RL

ΩL

Page 17: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 15

Calcul des gains

Le Gain transducique GT : ( , , )T T G LG G S= Γ Γ 2 2 2

2

(1 ) 21 (1 )(1 22 )(1 11 ) 21 12

L GT

L G G L

SG

S S S S− Γ − Γ

=− Γ − Γ − Γ Γ

Le Gain disponible GA : ( , )A A GG G S= Γ ne dépend pas de ΓL

2 2

22 '

21 (1 )

1 11 (1 22 )G

A

G

SG

S S

− Γ=

− Γ −

Le Gain en puissance G : ( , )LG G S= Γ ne dépend pas de ΓG

2 2

22 '

21 (1 )

1 22 (1 11 )L

G

SG

S S

− Γ=

− Γ −

Cas du transistor unilatéral S12≈0 : 2 2 2

2 2

(1 ) 21 (1 )1 22 1 11

L GT

L G

SG

S S− Γ − Γ

=− Γ − Γ

Gain transducique unilatéral

2

max 2 2

21(1 22 )(1 11 )

Tu

SG

S S=

− −

Gain transducique unilatéral à l’adaptation entrée/sortie

MAG :

221 ( 1)12

SMAG k kS

= ± − ou k>1 k facteur de Rollet

Le bruit :

Formule de Friss Mesure de bruit Facteur de bruit

321

1 1 2

11 FFF FG G G

−−= + + +K 1

11

FM

G

−=

( )min 224

1 1S opt

n

S opt

F F RΓ − Γ

= +− Γ + Γ

Page 18: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 16

Commande des Procédés Discontinus

La description du cahier des charges d’un automatisme industriel, caractérisé par le fait qu’il possède un grand nombre de variables d’entrée dont peu sont significatives à un instant donné, est facilitée par l’utilisation des réseaux de Pétri.

Un réseau de Pétri est un graphe orienté, défini par un triplet <T , P , A> où T, P et A sont respectivement des ensembles finis non vides, de transitions, de places définissant les nœuds du graphe et d’arcs orientés assurant la liaison d’une place vers une transition ou d’une transition vers une place.

Un réseau de Pétri est constitué par la juxtaposition de cinq configurations élémentaires :

le transfert qui est formé d’une transition et d’une place ;

l’attribution qui est la convergence d’arcs sur une même place ;

la sélection qui est la divergence de plusieurs arcs issus d’une même place ;

la jonction qui est la convergence de plusieurs arcs sur une même transition ;

la distribution qui est la divergence de plusieurs arcs à partir d’une même transition.

Le marquage initial 0M d’un réseau de Pétri est obtenu en plaçant des marqueurs ou des jetons dans les différentes places. Le marquage M d’un réseau de Pétri est l’ensemble des places marquées à un instant donné.

L’évolution temporelle du réseau de Pétri est obtenu par l’évolution de son marquage dans le temps, ce qui nécessite l'opération de franchissement ou de tir d’une transition par des marqueurs.

Une transition est validée ou franchissable si chaque place d’entrée (place d’où sont issus les arcs orientés aboutissant à la transition) de cette transition comporte au moins un marqueur. Seules les transitions validées peuvent être franchies ou tirées. L’opération de tir d’une transition consiste à enlever un marqueur de chaque place d’entrée et à ajouter un marqueur à chaque place de sortie (place où aboutissent les arcs orienté issus de la transition). Lorsqu’une place d’entrée est commune à deux ou plusieurs transitions validées simultanément, les transitions sont dites en conflit. Cette situation arrête l’évolution du marquage d’un réseau qui peut reprendre en rendant prioritaire une des transitions validées.

L’évolution du marquage dans un réseau de Pétri peut être suivie en constituant un graphe dont les nœuds représentent les différents marquages et les branches constituent les transitions conduisant aux marquages correspondant.

Un réseau de Pétri est :

vivant pour un marquage initial 0M si toute transition du réseau peut être validée ou tirée pour une séquence finie de tirs ;

sain ou sauf pour un marquage initial 0M si, quel que soit le marquage obtenu à partir de 0M par une séquence finie de tirs, aucune place ne possède plus d’un marqueur ;

propre si les marqueurs peuvent retourner dans toute position déjà obtenue ;

déterministe s’il est sans conflit.

Le marquage final nM d’un réseau de Pétri s’obtient à partir du marquage initial 0M par la relation matricielle :

Dt)C(p,MM 0n += , t)E(p,t)S(p,t)C(p, −= où :

D est un vecteur colonne de dimension m égale au nombre de transitions du réseau, ayant pour composante jd un nombre entier positif correspondant au nombre de tirs de la transition

jt dans la séquence donnée ;

Page 19: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 17

t)S(p, est une matrice dont les éléments ijs valent 1 si la place ip est une place de sortie de la transition jt et 0 dans le cas contraire ;

t)E(p, est une matrice dont les éléments ije valent 1 si la place ip est une place d’entrée de la transition jt et 0 dans le cas contraire .

L’automatisation d’un processus discontinu s’effectue en : - représentant l’ensemble processus-structure de commande sous la forme d’un schéma

fonctionnel ;

- déterminant les grandeurs d’entrée et de sortie du processus à commander ;

- déterminant les grandeurs d’entrée en de sortie de l’ensemble du système automatisé.

Structure de commande

Processusindustriel

entréesortie

Cette automatisation se conduit en traçant, à partir du cahier des charges, un réseau de Pétri qui doit être vivant, sain, propre et déterministe en en l’interprétant de la manière suivante :

à toute transition du graphe est associée une fonction booléenne des entrées ou une fonction booléenne des entrées associée à une ou plusieurs variables de sortie. Une transition validée est tirée si la fonction booléenne correspondant à cette transition vaut un ;

à toute place du graphe est associée une ou plusieurs variables de sortie de la structure de commande ou une ou plusieurs variables de sortie associées à une ou plusieurs variables d’entrée. La fonction booléenne associée à une place est égale à un lorsque la place est marquée ;

à tout marquage du réseau de Pétri est associé un état de la machine séquentielle.

Page 20: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 18

Commande Numérique des Processus

Un système échantillonné est un ensemble d’éléments dynamiques interconnectés dans lequel les données apparaissent en un ou plusieurs points comme une suite de nombres.

Un système asservi échantillonné typique est un système comportant un élément renvoyant la variable de sortie vers l’entrée avec une opération d’échantillonnage. Dans ce système, le signal d’erreur est échantillonné avec une période T puis reconstitué par un filtre ou bloqueur d’ordre zéro de fonction de

transfert pe1(p)B

Tp0

−−= , caractérisé par le fait que sa sortie entre les instants nT et (n+1)T est constante

et égale à la valeur à l’instant nT , avant d’être appliquée au processus continu.

sortie continues t( )

entrée continuee t( ) Filtre ou Bloqueur

B p0 ( )Processus continu

G p( )T+ -

erreurcontinue

erreuréchantillonnée

Ce système, n’ayant généralement pas les performances requises, est corrigé par l’adjonction d’un correcteur numérique D(Z).

T+ -

Correcteurnumérique T

suite échantillonnéede la commande

e(t)

entréecontinue

erreurcontinue

erreuréchantillonnée

sortiecontinue

s(t)

Filtre ouBloqueur B0 (p)

Processus continu G(p)

L’opération d’échantillonnage d’un signal continu f(t) est approximée par une modulation d’un train

d’impulsions de Dirac par f(t) : ∑∞

=−=

0n* nT)f(nT)δ(n(t)f .

La transformée de Laplace du signal échantillonné (t)f * s’écrit : ∑∞

=

−=0n

nTp* f(nT)e(p)F .

Le comportement d’un système échantillonné aux instants d’échantillonnage est décrit par la transformée

en Z : [ ] ∑∞

=

−==0n

nf(nT)Zf(t)zF(Z) qui est linéaire :

[ ] [ ] [ ] (Z)F(Z)F(t)fz(t)fz(t)f(t)fz 212121 +=+=+ (t)fet(t)f 21∀ ,

[ ] [ ] kF(Z)f(t)kzkf(t)z == f(t)etconstantk∀ .

Page 21: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 19

Les principales propriétés de la transformation en Z sont :

[ ] F(Z)ZnT)f(tz n−=− [ ]⎥⎥⎦

⎢⎢⎣

⎡−=+ ∑

=

−1n

0kkn f(kT)ZF(Z)ZnT)f(tz

[ ] )F(Zef(t)ez aTat =− [ ] )F(Zef(t)ez aTat −=

F(Z)limf(nT)limZ0n ∞→→

= 1)F(Z)(Zlimf(nT)lim1Zn

−=→→∞

si )F(Z)Z(1 1−− ,

n’a pas de pôle sur le cercle unité dans le plan-Z ou à l’extérieur du cercle unité

[ ] [ ]a)F(Z,a

a)f(t,a

z∂∂

=⎥⎦⎤

⎢⎣⎡∂∂ [ ] [ ]F(Z)

dZdTZtf(t)z −=

Une condition nécessaire et suffisante de stabilité d’un système asservi échantillonné est que les pôles de la fonction de transfert en Z du système bouclé ou que les racines de l’équation caractéristique, soient situées à l’intérieur du cercle unité dans le plan-Z.

Les conditions de stabilité pour une équation caractéristique d’ordre deux 0aZaZaB(Z) 012

2 =++= , 0a 2 > , sont :

0aa 20 <− 0aaa 210 >++ 0aaa 210 >+−

Les conditions de stabilité pour une équation caractéristique d’ordre trois

0aZaZaZaB(Z) 012

23

3 =+++= , 0a3 > , sont :

0aa 30 <− 3120

23

20 aaaaaa −<− 0aaaa 3210 >+++ 0aaaa 3210 <−+−

La synthèse peut s’effectuer par différentes méthodes :

méthode des pôles dominants (ou méthode de Zdan) dont le principe est d’obtenir un système asservi dont le comportement soit voisin de celui d’un système du second ordre, c’est à dire caractérisé essentiellement par un paire de pôles dominants ;

méthodes basées sur les critères temporels (système minimal, système à réponse pile) dont le principe est que le système réponde convenablement à des signaux tests tels qu’un échelon unité, une rampe ou une accélération constante. On désire que le réseau correcteur soit physiquement réalisable, la réponse en régime permanent au test d’entrée ait une erreur nulle et que la réponse transitoire soit aussi rapide que possible (le temps d’établissement étant égal à un nombre fini de périodes d’échantillonnage.

Page 22: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 20

Compatibilité Electromagnétique

Couplage capacitif en BF - Modélisation du couplage capacitif entre deux conducteurs

VS

2

RG

1

m

eg

Vp

RL

VS

2 1 C12

C2m C1mVp RL RG

Schéma équivalent en BF

( )[ ] GL

GLP

MP

P

P

S

RRRRRavec

CCRjCRj

jVjVjT

+=

++==

212

12

1)()()(

ωω

ωωω

Couplage inductif en BF - Modélisation du couplage inductif entre deux conducteurs

RL2RL1

I1

R1

EP

Φ1

I2

R2

E2

Φ2

M

L2 R1

EP

L1

R2

E2

RL1RL2

I1

I2

VS

( ) 122220 IjMIjLRR L ωω +++=

( ) 21111 IjMIjLRRE LP ωω +++= ⎟⎟⎠

⎞⎜⎜⎝

⎛+

+

+−=

22

2

222

1 1L

LL

S

RRLj

RRMj

RIV

ω

ω

Ecrans métalliques - Diagramme asymptotique du rapport E/H à une distance r de la source de la perturbation

Champ procheChamp lointain

H prépondérant

E prépondérant

E/H (Ω, échelle log)

r/(λ/2π)

377

3,77

377.102

10-2 1

Page 23: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 21

Transmission d’un champ perturbateur au travers d’une paroi conductrice

d

HR

HI

TH01HI

TH01HIe-αd

TH10TH01HIe-αd

RH10TH01HIe-αd

RH10TH01HIe-2αd

(RH10)2TH01HIe-2αd

(RH10)2 TH10TH01HIe-3αd

(RH10)2 TH01HIe-3αd

(RH10)4 TH10TH01HIe-5αd

HT

milieu 1

CARHH

I

T ..=

R est l’atténuation due aux réflexions :0

4ZZR C≈ avec

ωεσωµ

jjZC +

= l’impédance de l’écran

A est l’atténuation due à l’absorption : µσω

δα

α 210 === − aveceAA d où α est l’épaisseur de peau

C est une correction qui découle de la prise en compte des réflexions multiples : deC α21

1−−

Page 24: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 22

Conception des circuits intégrés numériques - Structures fondamentales

Evolution 1948: invention du transistor 1949: invention du circuit intégré 1980: technologie nMOS 1985: technologie CMOS

Loi de Moore: tous les 18 mois, le nombre de transistors sur la surface des puces électroniques double et la taille de leur grille diminue d’un facteur 1,3 Actuellement cohabitation des microsystèmes et des nanosystèmes

Régimes de fonctionnement du transistor nMOS dans les circuits logiques

VDS

VGS

VDS

VGS

Réservoir Source

Réservoir Drain

Canal

VGS

VGS<VT => MOS OFF, ID = 0

VDS = 0 => ID = 0

VSB

VGS

B

S D

G

p

VDS

VGS ≥ VT => MOS ON

VDS < VGS - VT => MOS linéaire, ID ≅ µ Cox (W/L) (VGS – VT) VDS

VDS ≥ VGS - VT => MOS saturé, ID = IDsat ≅ ½ µ Cox (W/L) (VGS – VT)2

VDS > 0 => ID > 0

VDS ≥ VGS - VT et (VDS2/2)] non négligeable devant [(VGS – VT) VDS =>

MOS intermédiaire, ID ≅ µ Cox (W/L) [(VGS – VT) VDS – (VDS2/2)]

B VSB

VGS

S D

G

p

B

VGS

B VSB

VGS

S D

G

p

B

B VSB

VGS

S D

G

p

B

LOGIQUE CMOS

IN OUT

MN

MP

IN OUT

MN

MP

INV : schéma électrique

INV : dessin de masques et coupe

INV : vue 3D

Page 25: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 23

IN OUT

M1

M2

OUT

M3

M4

Cgd2

Cgd1

Cdb2

Cdb1

Cint

Cg4

Cg3

IN OUT

M1

M2

OUT

M3

M4

Cgd2

Cgd1

Cdb2

Cdb1

Cint

Cg4

Cg3

Bilan des capacités à la sortie du 1er INV

NAND : dessin de masques

C

OUT

Réseau du Pull DownnMOS

Réseau du Pull UppMOS

BA

C

BA

C

OUT

Réseau du Pull DownnMOS

Réseau du Pull UppMOS

BA

C

BA

Structure CMOS à inversion

LOGIQUE PSEUDO-NMOS

IN

OUT

MN

MP

IN

OUT

MN

MP

INV

LOGIQUE CMOS DYNAMIQUE

C

OUT

Réseau du Pull DownnMOSB

A

VDD

Φ MP

Φ ME

CL

C

OUT

Réseau du Pull DownnMOSB

A

VDD

Φ MP

Φ ME

CL

LOGIQUE BiCMOS

T2

INOUT

T1

TN

TP

VDD

T2

INOUT

T1

TN

TP

VDD

INV Exemple de règles de dessin

Réseau de

cellules mémoire

BL

WL

Déc

odeu

r ran

gée

Décodeur colonne

Amplificateurs

0

2M-1

Adr

esse

rang

ée (M

bits

)

Adresse colonne (Nbits)

2N-10

Donnée E/S

Réseau de

cellules mémoire

BL

WL

Déc

odeu

r ran

gée

Décodeur colonne

Amplificateurs

0

2M-1

Adr

esse

rang

ée (M

bits

)

Adresse colonne (Nbits)

2N-10

Donnée E/S Organisation d’une mémoire

Word Line

VDD

Bit

line

/Bit

line

Word Line

VDD

Bit

line

/Bit

line

Cellule SRAM

CS

Word Line

Bit

lineCS

Word Line

Bit

line

Cellule DRAM

CBC /B

pΦ/Bit line Bit line

VDD/2

M6

M1

M2 M4

M3

M5

VDD

Amplificateur de lecture

Page 26: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 24

Convertisseurs CNA & CAN

Théorie de l’échantillonnage éch/bloc en mode échantillonnage

• Temps d’acquisition • Slew rate de l’ampli • Offset de l’ampli • Erreur de gain • Erreur de linéarité

éch/bloc en mode mémorisation • Injection de charge • Clock feedthough • Droop

Critère de Nyquist : Féch = 2×FMAX

Spécification des CNA & CAN

CNA

VREF

VOUT

D0

D1

DN-2

DN-1

D2

LSB

MSB

000 001 010 011 100 101 110 111 D

1/8

2/8

3/8

4/8

5/8

6/8

7/8

0

Penteidéale

Saut idéal

000 001 010 011 100 101 110 111

1/8

2/8

3/8

4/8

5/8

6/8

0

VOUTVREF

CNA

VREF

VOUT

D0

D1

DN-2

DN-1

D2

LSB

MSB

CNA

VREF

VOUT

D0

D1

DN-2

DN-1

D2

LSB

MSB

000 001 010 011 100 101 110 111 D

1/8

2/8

3/8

4/8

5/8

6/8

7/8

0

Penteidéale

Saut idéal

000 001 010 011 100 101 110 111

1/8

2/8

3/8

4/8

5/8

6/8

0

VOUTVREF

REFNOUT V2

Dv = REFN

NFS V

2

12V −= N

REF2

VLSB1 =

DNLn=(hauteur réel saut)n - (hauteur saut idéal)n INLn=(val. analog)n - (val. analog ligne réf)n

Erreur d’offset : si vOUT(0)≠0 Erreur de gain=Pente idéale – pente réelle Latence=temps acquisition + temps de conversion

Plage dynamiq= N02.61

1N2log20 •≈⎟⎠⎞

⎜⎝⎛ − dB

Rapport signal sur bruit (SNR)

CAND0

D1

DN-2

DN-1

D2

LSB

MSBVREF

VIN CAND0

D1

DN-2

DN-1

D2

LSB

MSBVREF

VIN

1/8 2/8 3/8 4/8 5/8 6/8 7/80

LSB

s

Qe

1

-1

0.5

-0.5

000

001

010

011

100

101

110

111

8/81/8 2/8 3/8 4/8 5/8 6/8 7/80

1

-1

0.5

-0.5

000

001

010

011

100

101

110

111

8/8

EntréeAnalogique

LargeurIdéale du saut

VINVREF

VINVREF

Code numde sortie D

1/8 2/8 3/8 4/8 5/8 6/8 7/80

LSB

s

Qe

1

-1

0.5

-0.5

000

001

010

011

100

101

110

111

8/81/8 2/8 3/8 4/8 5/8 6/8 7/80

1

-1

0.5

-0.5

000

001

010

011

100

101

110

111

8/8

EntréeAnalogique

LargeurIdéale du saut

VINVREF

VINVREF

Code numde sortie D Erreur de quantification :

LSBINe VDvQ ×−=

DNLn=(Largeurcode idéal)n - (Largeurcode réel)n INL=(val. Transcode)n - (val. ligne réf)n Erreur d’offset : 1ère transistion ≠ ½ LSB Erreur de gain= Pente idéale – Pente réelle Code manquant : si DNL = -1

SNR = ⎟⎟⎠

⎞⎜⎜⎝

noiseINv

(max)vlog20

Aliasing : si sous-échantillonnage Erreur d’ouverture : doit être < ½ LSB

LSBNREF

CONV VD2

VDV •=•=

22)V(2

22V

(max)v LSBN

REFIN ==

12VdV)V(

V1Q LSB

5.0VLSB5.0

VLSB5.0

LSB2

LSBLSB

RMS,e =⎥⎥⎥

⎢⎢⎢

= ∫−

Architectures des CNA CNA R-2R

-+

vOUT

RF2R 2R 2R 2R

R R R

2R

VREF VREF/2 VREF/22 VREF/2N-1 VREF/2N

2R 2R

R

DN-1 DN-2 D2 D1 D0DN-3

MSB LSB

-+

vOUT

RF2R 2R 2R 2R

R R R

2R

VREF VREF/2 VREF/22 VREF/2N-1 VREF/2N

2R 2R

R

DN-1 DN-2 D2 D1 D0DN-3

-+

vOUT

RF2R 2R 2R 2R

R R R

2R

VREF VREF/2 VREF/22 VREF/2N-1 VREF/2N

2R 2R

R

DN-1 DN-2 D2 D1 D0DN-3

MSB LSB

FTOTOUT Riv −= avec ∑−

=−

=

1N

0kkN

REFkTOT R2

1

2

VDi

• Convertisseur rapide (1 conversion/cycle) • N-1 interrupteurs, 2N+1 résistances • Appariement des résistances • Résistance des interrupteurs à minimiser

Page 27: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 25

CNA cyclique

× 12

S/H +VREF

Dk

Dk

CONVSérie-Paralèlle

DN-1DN-2

D0

D1

vOUT

vA

× 12× 12× 12

S/HS/H + +VREF

Dk

Dk

CONVSérie-Paralèlle

DN-1DN-2

D0

D1

vOUT

vA

21)1n(v

21VD)n(v AREF1nOUT ⎟

⎠⎞

⎜⎝⎛ −+= − , 0)0(vA =

• N cycles de conversion • Elements critiques pour la précision : gain 0,5 de l’ampli, précision à N-bits près de l’additionneur et de l’éch/bloqueur. • Utilisation de capacité-commutée

• 1 conv/cycle après les N premiers

• N fois plus d’éléments que CNA cyclique

CNA pipeline

+

VREF

D0 D0

S/H ×½vOUT(1)

VREF

D1 D1

+S/H ×½vOUT(2)

VREF

DN-1 DN-1

+ S/H ×½vOUT(n)

1er étage 2ème étage Nème étage

+

VREF

D0 D0

S/H ×½vOUT(1)

+ +

VREF

D0 D0

S/H ×½vOUT(1)

VREF

D1 D1

VREF

D1 D1

+S/H ×½vOUT(2)

+ +S/H ×½vOUT(2)

VREF

DN-1 DN-1

+ S/H ×½vOUT(n)

VREF

DN-1 DN-1

+ + S/H ×½vOUT(n)

1er étage 2ème étage Nème étage

[ ]21)1n(vVD)n(v OUTREF1nOUT −+⋅= − extension du CNA cyclique

Autres architectures de CNA • Résistances pondérée • Conversion de courant • Division de charge • etc.

Architectures des CAN • Convertisseur très rapide (1 conv/cycle) ← CAN Flash • 2N-1 comparateur et 2N résistances (2(2N/2 -1) pour Flash à 2

étages) • Grande consommation en courant • Assez faible résolution

CAN Flash VREF

R

R

R

R

V0

V1

V2N

-1 -+

-+

-+

-+

-+

-+

-+

-+

VIN

Déc

odeu

r 2N-1

:N

D0

D1

DN-2

DN-1

Code thermomètre

Sorti

e nu

mér

ique

bina

ire

CAN Pipeline • Rapide, grande résolution, propag. d’erreur étage entrée → sortie

S/H

±

-+

Σ ×2

DN-1(MSB)

VREF/2

VN

S/H

±

-+ -+

Σ ×2×2

DN-1(MSB)

VREF/2

VN

vIN S/H

±

-+ -+

Σ ×2×2

DN-2(MSB)

VREF/2

VN-1

S/H

-+ -+

D0(LSB)

VREF/2vp1 vp1

CAN à intégration (simple rampe)

-+

-+

-+

-+S/H

Logique de contrôle

Latch

Compteur

DN-1 DN-2 D2 D1 D0

Reset

clk in

vIN

-VREF

Intégrateur

VC

Comparateur

Reset

CLKN

REFIN

c T2Vvt =

RCfv2

RCvT2

VCLK

INN

INCLKN

C ==

CLKNIN

REFsample f

2v

Vf =

• Très grande résolution • Faible vitesse de conv. Elements critiques • Jitter d’horloge • Offset compar. Ampli. • Précision des résistances

CAN à intégration (double rampe)

-+

-+

-+

-+

S/H

Logique de contrôle

Latch

Compteur

DN-1 DN-2 D2 D1 D0

Reset

clk in

vIN

VREF

Intégrateur

VC

Comparateur

ResetO/F

Période de charge Période de décharge

t

VC(t)

VB

VA

Ove

rflow

et re

set

Pente variablePente constante

tAtB

Période d’intégration fixe, T1

Période d’intégration variable, T2

1 t

Compteur

2 3 4 5 6 7 8 1 2 3 4 5 6

Page 28: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 26

Effets quantiques dans les composants MOS fortement sub-microniques

Contexte

Les plans de développements industriels prévus pour les transistors MOS dits « hautes performances » indiquent font référence à des dimensions de l’ordre de quelques dizaines de nanomètres.

1990 2000 2010 2020100

101

102

103

7nm

15nm

32nm

65nm

0.15 µm

0.3 µm

22 nm32 nm

45 nm65 nm

90 nm

0.5 µm Noeud TechnologiqueLG (High. Pef.)

Année

Dim

ensi

ons

[nm

]

Tox

0.15 µm

0

1

2

3

4

5

Tox [nm

]

En 2006, certains fabricants ont déjà mis en production le nœud technologique 65nm, ce qui correspond à des dispositifs possédant une longueur de grille (LG) inférieure à 40nm et une épaisseur d’oxyde de grille (Tox) proche de 1nm. Pour ces dimensions, et en prévision des nœuds futurs, un traitement quantique des dispositifs devient nécessaire pour prédire le fonctionnement de ces composants (courant tunnel à travers l’oxyde de grille, confinement des porteurs à l’interface Si-SiO2, transport balistique…).

Dualité onde-corpuscule

L’un des postulats fondamentaux de la mécanique quantique est que l’on peut associer une longueur d’onde à toute particule matérielle. Cette longueur d’onde est donnée par les relations de De Broglie.

kph πλ 2

== avec kp h= (impulsion) ou encore mEh

2=λ avec

mpE2

2

== ωh

Fonction d’onde – 1er grand principe Les caractères ondulatoire et corpusculaire des particules sont indissociables (cf expériences des fentes de Young). A toute particule, on associe donc une fonction d’onde notée ),( trrψ qui va décrire l’état de la particule à l’instant t. On peut interprèter ψ comme une amplitude de probabilité de présence en rr et à l’instant t (on renonce donc à la notion déterministe de trajectoire).

r

0 x

y

z

dV=d3r

M

dP : Probabilité élémentaire de trouver la particule à l’instant t dans le

volume rddV 3= autour de la position rr : rdtrt)rdP( 32

),(, ψ=

Condition de normalisation : on doit retrouver la particule dans l’espace, doù :

1),(, 32

== ∫∫ rdtrt)rdP(esapceespace

ψ

Rq : mathématiquement, signifie queψ doit être de carré sommable.

Quantification et états propres Soit A une grandeur associée à un système microscopique (ex : quantité de mouvement, énergie, moment cinétique,…). Dans la plupart des systèmes quantiques A sera quantifié, cela signifie que le résultat d’une mesure sur A ne pourra appartenir qu’à un ensemble discret de valeurs ai (ex : spectre d’absorption des atomes). On dit alors que les ai sont les valeurs propres associées à A. Si on note ),( tr

iarψ les états de la particule

donnant ai pour valeur de A, alors les iaψ sont appelés les états propres de A.

Page 29: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 27

Principe de décomposition spectrale

Soit un système microscopique et une grandeur physique associée, A, possédant les valeurs propres ai et les

iaψ pour états propres. A un instant quelconque, la particule se trouve nécessairement dans l’un des états

iaψ avec une probabilité Pai. On décrit alors l’état d’une particule comme une combinaison linéaire des états

iaψ .

),(),( trctria

ii

rr ψψ ∑=

avec

∑=

jj

ia

c

ctP

i 2

2

0 )(

Equation de Schrödinger (E.S.)– 2me grand principe

Soit une particule de masse m, qui subit l’action d’un potentiel (ici au sens « énergie potentielle ») quelconque ),( trV r . L’évolution temporelle de la fonction d’onde est régie par l’équation de Schrödinger :

),(),(),(2

),(2

trtrVtrm

trt

i ψψψ ⋅+∆−=∂∂ h

h

Cette équation est un postulat fondamental de la mécanique quantique à admettre, elle ne se démontre pas. Elle se justifie car elle donne des résultats en parfait accord avec l’expérience.

Principe d’incertitude de Heisenberg – 3me grand principe

Contrairement à la mécanique classique, il existe une limite intrinsèque à la précision des mesures au-delà de laquelle on ne peut aller. Ces limites sont exprimées par les relations d’incertitudes de Heisenberg :

Position – impulsion h≥∆⋅∆ xpx Energie – temps h≥∆⋅∆ tE

Particule dans un potentiel indépendant du temps

Si ),( trV r = )(rV r alors on peut montrer que les solutions sont de la forme )()(),( trtr χϕψ ⋅= . On pose ωh=E .

⎟⎠⎞

⎜⎝⎛−⋅= tEit

hexp)( 0χχ

ϕ solution de l’E.S. indépendante du temps : )()( rErH ϕϕ ⋅= avec

H :Hamiltonien du système ×+∆−= )(()2

2

rVm

H rh

E>V : solutions propagatives

( ) ( )ikxBikxAx −⋅+⋅= expexp)(ϕ

2)(2

h

VEmk −=

E>V : solutions non propagatives

( ) ( )xBxAx ρρϕ −⋅+⋅= expexp)(

2

)(2h

EVm −=ρ

Franchissement d’une barrière de potentiel (transparence)

Barrière rectangulaire

e x

V0

0

I IIIII~AI

~BI ~BII

~AII ~AIII

)2exp()(

16)()(4

4222

221

222222

222

ek

keshkk

kAAT II

III

IIIe

IIIIIIII

III

I

IIIII

ρρρ

ρρρρ ρ

−+⋅++

== =>>

Barrière quelconque

xeI

~AI ~AIII

V(x)

E

I III0

Approximation Wentzel Kramers Brillouin (WKB): on néglige BI et BII

))(2exp()(0∫−=e

IIWKB dxxET ρ avec 2

))((2)(h

ExVmxII−

Page 30: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 28

Electronique

valeur moyenne <f(t)> = Fo = 1

T f(t) dtto+T

to<f(t)> = Fo = 1

T f(t) dtto+T

to

valeur efficace (RMS) FRMS = F = 1

T f²(t) dtto+T

toFRMS = F = 1

T f²(t) dtto+T

to puissance DC puissance ac puissance complexe

puissance P = U.I

puissance moyenne P=U.I.cosφ puissance apparente U.I = URMS.IRMS facteur de puissance cosφ

puissance complexe P = ½ U.I* puissance active Re(P) = U.I.cosφ puissance réactive Im(P) = U.I.sinφ

masse source DC

source V source I

R : U=R .I

L : u=LdI/dt

C : i=C.dv/dt

chassis GND

terre commun R jLω 1/ jCω

Thévenin Norton Millman Kennely Miller

Vo

Zo

Vo

Zo

Vo=Io/Yo

Zo=1/Yo

Io YoIo Yo

Io=Vo/Zo

Yo=1/Zo

Vo =

VnRn1

RnVo =

VnRn1

Rn

R∆jR∆kR∆i+R∆j+R∆k

RYi = R∆jR∆kR∆i+R∆j+R∆k

RYi =

RYiRYj+RYjRYk+RYkRYiRYi

R∆i =RYiRYj+RYjRYk+RYkRYiRYi

R∆i =

A = v2

v1A = v2

v1

v2

v1 Zin = Z

1 - AZin = Z1 - A

Z1 - A

Zout = Z. AA - 1Zout = Z. AA - 1

AA - 1

Diode PN

symbole

modèle en direct

Rd

Rd

symbole

modèle en inverse RiRi

modèle à faible courant

Id = Is [exp( ) –1]Vdη kT/eId = Is [exp( ) –1]Vdη kT/e

Vdη kT/e

Diode Zener symbole

modèle en direct

Rd

Rd

symbole modèle en inverse

Vz

Rz

Vz

Rz

constantes VT = kT/e = 26 mV à 300K

-19-23

e = 1,6.10 Ck = 1,38.10 J/K

VT = kT/e = 26 mV à 300K-19

-23e = 1,6.10 Ck = 1,38.10 J/K

-19-23

e = 1,6.10 Ck = 1,38.10 J/K

Transistor Bipolaire

NPN

Symbole

équations IE = IC + IB

IC = β.IBIC = α.IEIE = IC + IB

IC = β.IBIC = α.IE

modèle ac BF petits signaux B

E

hie 1hoe

hfe.ib VceVbe

Ib CIoB

E

hie 1hoe

1hoe

hfe.ib VceVbe

Ib CIo

équation du transistor

IE = IS.exp( )VBEVT

IE = IS.exp( )VBEVTVBEVT

Transistor bipolaire Transistor à jonction : jFET N P N

P N P

équation du transistor

IE = IS.exp( )VBEVT

IE = IS.exp( )VBEVTVBEVT

canal N

canal P

équation du transistor

ID = IDSS.[1- ]²VGSVGSoff

ID = IDSS.[1- ]²VGSVGSoffVGS

VGSoff Transistor MOS à canal enrichi : E-MOSFET Transistor MOS à canal implanté : D-MOSFET canal N

canal P

équation du transistorID = K.[VGS-VTh]²

Canal N

canal P

équation du transistor

ID = IDSS.[1- ]²VGSVGSoff

ID = IDSS.[1- ]²VGSVGSoffVGS

VGSoff Amplificateur opérationnel : bouclage sur l’entrée inverseuse : amplificateurs inverseur

Av=-R1/R2

vi

R1

-

+

R2

vi

R1

-

+

R2

non-inverseur Av=1+(R1/R2)

Vcc+

Vcc-vi

+

-

R2R1

Vcc+

Vcc-vi

+

-

R2R1

soustracteur

R

-

+

v1

v2

R

R

R

R

-

+

v1

v2

R

R

R

sommateur R2=R moyenneur R2=R/2

v1

R2

-

+

R

v2R

v1R2

-

+

R

v2R

intégrateur

vi

R-

+

C

vi

R-

+

C

dérivateur

vi

R

-

+

C

vi

R

-

+

C

Pas de bouclage : comparateurs à un seuil bouclage sur l’entrée non-inverseuse : comparateurs à deux seuils (Trigger de Schmitt)

non-inverseur

vi

+

-vi

+

-

inverseur -

+

vi

-

+

vi

non-inverseur

vi

R1

+

-

R2

vi

R1

+

-

R2

inverseur R1

+

-

R2

vi

R1

+

-

R2

vi

Page 31: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 29

émetteur commun :

Re

Rc

R2

R1

Eo

Ce

RL

eg(t)

Ci

Co

Rg

Re

Rc

R2

R1

Eo

Ce

RL

eg(t)

Ci

Co

Rg

Eo

Vce (V)

Ic (mA)

droite statique

droite dynamique

point de repos

Rc.IcQ

IcQ

VceQ

1/Rc 1/(Rc+RE)

Icmax

Eo

Vce (V)

Ic (mA)

droite statique

droite dynamique

point de repos

Rc.IcQ

IcQ

VceQ

1/Rc 1/(Rc+RE)

Icmax

ICQ = EB - VBE

+ RE.( +1)RBβ

ICQ = EB - VBE

+ RE.( +1)RBβ

Av = - gm.Rch

Ri = RB // hie < hie Ro = Rc // (1/hoe) = Rc

hie 1

hoehfe.ib

Rg

RCeg vo

RL

RBvi

hie 1hoe

1hoehfe.ib

Rg

RCeg vo

RL

RBvi

charge répartie :

Re

Rc

R2

R1

EoRL

eg(t)

Ci

Co

Rg

Re

Rc

R2

R1

EoRL

eg(t)

Ci

Co

Rg

Avc = -RCh/RE

Ri = RB // hfe.RE Ro = RC

hie 1

hoehfe.ibRg

RCeg vo

RLRBvi

RE

hie 1hoe

1hoehfe.ib

Rg

RCeg vo

RLRBvi

RE

charge répartie :

Re

Rc

R2

R1

Eo

RLeg(t)

Ci

Co

Rg

Re

Rc

R2

R1

Eo

RLeg(t)

Ci

Co

Rg

Eo

Vce (V)

Ic (mA)

droite statiqueet dynamique

point de repos

(RC+RE).IcQ

IcQ

VceQ

1/1/(Rc+RE)

Icmax

ICQ = EB - VBE

+ RE.( +1)RBβ

Eo

Vce (V)

Ic (mA)

droite statiqueet dynamique

point de repos

(RC+RE).IcQ

IcQ

VceQ

1/1/(Rc+RE)

Icmax

ICQ = EB - VBE

+ RE.( +1)RBβ

ICQ = EB - VBE

+ RE.( +1)RBβ

Ave = +1

Ri = RB // hfe.(RE // RL) Ro = RE // (1/hfe).[hie+(Rg//RB)]

hie 1

hoehfe.ibRg

RCeg

voRL

RBvi

RE

hie 1hoe

1hoehfe.ib

Rg

RCeg

voRL

RBvi

RE

collecteur commun:

ReR2

R1

Eo

RLeg(t)

Ci

Co

Rg

ReR2

R1

Eo

RLeg(t)

Ci

Co

Rg

Eo

Vce (V)

Ic (mA)

droite statiqueet dynamique

point de repos

RE.IcQ

IcQ

VceQ

1/RE

Icmax

ICQ = EB - VBE

+ RE.( +1)RBβ

Eo

Vce (V)

Ic (mA)

droite statiqueet dynamique

point de repos

RE.IcQ

IcQ

VceQ

1/RE

Icmax

ICQ = EB - VBE

+ RE.( +1)RBβ

ICQ = EB - VBE

+ RE.( +1)RBβ

Av = +1

Ri = RB // hfe.(RE // RL) Ro = RE // (1/hfe).[hie+(Rg//RB)]

hie

hfe.ib

RgRLeg voRBvi

1/hoehie

hfe.ib

RgRLeg voRBvi

1/hoe

Filtres x = j. ω

ωοx = j. ωωοωωο

passe bas passe haut passe tout passe bande coupe bande

1er ordre H(ω) = Ho. 11+xH(ω) = Ho. 11+x1

1+x x

1+xH(ω) = Ho. x1+x

x1+xH(ω) = Ho.

1-x1+xH(ω) = Ho. 1-x1+x1-x1+xH(ω) = Ho.

Série

PB1 + PH1 parallèle

PB1 // PH1 2nd ordre

1Q

∆ωωο

ω2-ω1

ωο= =1

Q∆ωωο

ω2-ω1

ωο= =

1Q

11+ x+x²

H(ω) = Ho. 1Q

11+ x+x²1

Q1Q

11+ x+x²

H(ω) = Ho.

H(ω) = Ho. 1Q

x²1+ x+x²

H(ω) = Ho. 1Q

x²1+ x+x²1

Q1Q

x²1+ x+x²

H(ω) = Ho. 1Q

1- x+x²1+ x+x²

1QH(ω) = Ho. 1Q1Q

1- x+x²1+ x+x²

1Q1Q H(ω) = Ho. 1

Q

x1+ x+x²

1QH(ω) = Ho. 1Q1Q

x1+ x+x²

1Q1Q

H(ω) = Ho. 1

Q

1+x²1+ x+x²

H(ω) = Ho. 1Q1Q

1+x²1+ x+x²

série

PB1 + PH1

RC

CR

RC

CR

parallèle

PB1 // PH1

1er ordre R

CR

C

LR

LR

C

-+

r R

C

-+-+

r R

CR

CR

CR

RL

RL

C-+

R

r

C-+-+

R

r

R

CR

C

R

CR

C

C

-+

R

r

r

C

-+-+

R

r

r

r

C

-+

R

r

r

C

-+-+

R

r

2nd ordre

L CL C

L CL C

pas de circuits passifs

circuits résonnant

L CR L CR

R

CC

R/2

R

2C

R

CC

R/2

R

2C

structures de Sallen & Key

H = (1+ ) Y1.Y2Y1.Y2 + Y1.Y3 + Y2.Y3 + Y3.Y4 + Y3.Y4.(1-K)

r1r2H = (1+ ) Y1.Y2

Y1.Y2 + Y1.Y3 + Y2.Y3 + Y3.Y4 + Y3.Y4.(1-K)r1r2H = (1+ ) Y1.Y2

Y1.Y2 + Y1.Y3 + Y2.Y3 + Y3.Y4 + Y3.Y4.(1-K)r1r2r1r2

+- r1

r2

Y4

Y3

Y1 Y2 +- r1

r2

+- r1

r2

+-+- r1

r2

Y4

Y3

Y1 Y2

passe bas 2nd ordre passe haut 2nd ordre passe bande 2nd ordre

R +- r1

r2

RC

C

R +- r1

r2

+- r1

r2

+-+- r1

r2

RC

C

K=1+r1/r2Ho = K ,

ωo = ,

Q= .1

3-K

1RC

K=1+r1/r2Ho = K ,

ωo = ,

Q= .1

3-K1

3-K

1RC1

RC

C +

- r1

r2

CR

R

C +- r1

r2

+- r1

r2

+-+- r1

r2

CR

R

K=1+r1/r2Ho=K ,

ωo= ,

Q= .13-K

1RC

K=1+r1/r2Ho=K ,

ωo= ,

Q= .13-K1

3-K

1RC1

RCR +

- r1

r2

C

C

R

R

R +- r1

r2

+- r1

r2

+-+- r1

r2

C

C

R

R

K=1+r1/r2

Ho= ,

ωo= ,

Q= .

K5-K

2RC

25-K

K=1+r1/r2

Ho= ,

ωo= ,

Q= .

K5-KK

5-K2

RC2

RC2

5-K2

5-K structures de Rauch passe bas 2nd ordre passe haut 2nd ordre passe bande 2nd ordre

-+

Y4

Y2

Y1 Y3

Y5

-+-+

Y4

Y2

Y1 Y3

Y5

R +-R

C2

R C5

R +-+-R

C2

R C5

Q =

1R C2C51 C23 C5

Ho = -1

ωo =

Q =

1R C2C51 C23 C5

Ho = -1

ωo =

C1

+-C3

R2

C4 R5

C1+-+-C3

R2

C4 R5

R1

+-C3

R2

C4 R5

R1+-+-C3

R2

C4 R5

Page 32: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 30

Hyperfréquences

Equation des télégraphistes. 2 2

2 2 ( ) 0v v vLC LG RC RGvz t t

∂ ∂ ∂− − + − =

∂ ∂ ∂

2 2

2 2 ( ) 0i i iLC LG RC RGiz t t

∂ ∂ ∂− − + − =

∂ ∂ ∂

Constante de propagation.

( )( )R jL G jC jγ ω ω α β= + + = + α affaiblissement linéique en Np/m

β déphasage linéique rad/m

1 Neper (Np) = 8.68 dB

Résolution de l’équation des télégraphistes. 2

22

v vz

γ∂=

∂ et

22

2

i iz

γ∂=

z z

z z

v Ae Bei Ce De

γ γ

γ γ

⎧ = +⎪⎨

= +⎪⎩

A, B, C, D constantes

0

0

.ch z Zcsh z Vvsh zi Ich zZc

γ γγ γ

−⎛ ⎞ ⎛ ⎞⎛ ⎞ ⎜ ⎟= ⎜ ⎟⎜ ⎟ ⎜ ⎟−⎜ ⎟⎝ ⎠ ⎝ ⎠⎝ ⎠

Impédance en un point z de la ligne

0

0

( ) Z Zcth zZ z ZcZc Z th z

γγ

−=

− R jLZc

G jCωω

+=

+ impédance caractéristique

Z0 impédance d’entrée en z=0

Vitesse et temps de propagation

vϕωβ

= vitesse de phase tϕβω

= temps de phase gv ωβ

∆=

∆vitesse de groupe 1

gg

tv

= temps de groupe

Coefficient de réflexion

2( )z

zr rz

i i

V e Vz eV e V

γγ

γ

−−Γ = =

Vi tension incidente, Vr tension réfléchie

11

R RR

R R

Z Zc zZ Zc z

− −Γ = =

+ +

ZR impédance du récepteur, zR impédance réduite

Régime d’ondes

0R RZ ZcΓ = ⇒ = => pas d’ondes réfléchies régime d’ondes progressives

1 0R RZΓ = ⇒ = => réflexion totale Ligne en CC, régime d’ondes stationnaires

1R RZΓ = ⇒ = ∞ => réflexion totale Ligne en CO, régime d’ondes stationnaires

Page 33: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 31

Abaque de Smith : Paramètres et matrice [S] :

Re

Im

1 O

r = 0

r = 1

Lieu des coefficients de réflexion correspondant à une impédance de partie réelle r constante :

Cercles de rayon ⎟⎠⎞

⎜⎝⎛

+ r11 centrés sur le point

⎟⎠⎞

⎜⎝⎛ =

+= 0b,

r1ra .

Re

Im

1 O

x = 0

x = 1

x = -1

Lieu des coefficients de réflexion correspondant à une impédance de réactance x constante :

Cercles de rayon ⎟⎠⎞

⎜⎝⎛

x1 centrés sur le point

( )x/1b,1a == .

[S] Zg2

Eg2

Zg1

Eg1 V1 V2

I1 I2

a1

b1

a2

b2

⎩⎨⎧

+=+=

212

211a22Sa21Sba12Sa11Sb

0aj

biSiiai =

=

⎥⎦

⎤⎢⎣

⎡⎥⎦

⎤⎢⎣

⎡=⎥

⎤⎢⎣

2

1

2

1aa

22S21S12S11S

bb

0

iij ai

j

bSa =

=

Abaque de Smith : Relation entre paramètres S, le courant I et la tension V :

)Zg(2ZgIVa

ℜ+

= Zg impédance du générateur )Zg(2IZgVb

*

ℜ−

= Zg* impédance conjuguée

Page 34: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 32

Interconnexions en électronique rapide

Niveaux d'assemblage des composants Niveaux d'interconnexion

Niveau Elément Niveau Connexions

0 Composant 0 Internes au composant

1 Carte imprimée 1 Du composant vers l'extérieur, en incluant le boîtier

2 Fond de panier 1.5 Multichip module

Tiroir (Structure en baie) 2 Entre composants sur une carte 3 Coffret Entre cartes et câbles Baie Entre cartes 4 Ensemble de coffrets Entre cartes et fond de panier

5 Ensemble de baies Fond de panier

3

Connecteurs

4 Connexions de panier à tiroir, connexions internes au tiroir et connexions de tiroir à baies

5 Connexions de baies à baies et connexions extérieures à la baie

Retard de propagation par unité de longueur

PD 0 0 3,33 3,33effr rt L C ouε ε= =

Temps de propagation PDtτ = ×l

Ligne sans pertes 2 1 2( 1)00 0

0 00

( , ) ( ) px px

n n n p n n n pu u

n nS

ZV x p E p e e e eZ Z

τ τ+∞ +∞− +− + − +

= =

= Γ Γ + Γ Γ+ ∑ ∑l l

2 1 2( 1)0 0

0 00

1( , ) ( ) ( ) px px

n n n p n n n pu u

n nS

I x p E p e e p e eZ Z

τ τ+∞ +∞− +− + − +

= =

= Γ Γ − Γ Γ+ ∑ ∑l l

Lignes avec pertes : exposant de propagation Cas général

0 0( ) ( )( ) ( ) ( )j R jL G jC j jγ ω ω ω α ω β ω= + + = + c dα α α= +

Pertes dans le diélectrique 0 0 0tand f L Cα π δ=

Effet de peau 0

1( ) (0)2 2

l rR f R fr

πµ σσ π δ

≈ = 0

1f

δπµ σ

=

Lignes à faibles pertes PD

0 0

12 2cR RtL Z

α ≈ = PD 00

12 2dG t GZC

α ≈ =

Câble coaxial PD

0

( ) 2Kp pt pZ

γ ≈ +

Circuits imprimés hautes performances et boîtiers de circuits intégrés

PD0

( )2Rj j tZ

γ ω ω≈ +

Page 35: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 33

Interconnexions de circuits intégrés rapides PD

0

( ) 1 Rj j tjL

γ ω ωω

= +

Rubans semi-conducteurs dopés et connexions sub-microniques 0 0( )

2 2RC RCj jω ωγ ω = +

Liaisons multipoints Influence des capacités réparties

00 ( ) ( )

0

0

11

t dd eff PD eff PD

d

C Z CC N Z t tD CC

C

= = = ++

Câbles coaxiaux 80

0 00

60 8,68 1 1ln ( ) 2,9.10 tanc d rr

fDZ fd Z D d

µα α π ε δσπε

−= = + =

Lignes microstrips : formules de Kaup

eff

r 150,475 0,67

0,1 3r r wh

εε ε

<⎧⎪= + ⎨

< <⎪⎩

eff

060 5,98ln

0,8r

hZw eε

⎧ ⎫= ⎨ ⎬+⎩ ⎭

Lignes microstrips : pertes 0

0

8,686 (dB/m)cf

wZπµα

σ= 08 ( 1) tg

(dB/m) 9,1.10( 1)

r reffd

r reff

fε ε δα

ε ε− −

=−

Couplage lâche Coefficients de couplage 12 12

0 12 0

C LC Lk k

C C L= =

+

Ligne perturbée

21 1( , ) ( )[ ( ) ( ) ( 2 ) ( 2 )] ( ) '( ) ( )4 2L C L C

x x x x x x xv x t k k e t h t e t h t k k e t h tu u u u u u u

τ τ= + − − − + − + − − − − −

Backward crosstalk ou reverse crosstalk 2

1( ) [ ( ) ( ) ( 2 ) ( 2 )] ( )4A B B L Cv t K e t h t e t h t K k kτ τ= − − − = +

Forward crosstalk 2( ) '( ) ( ) ( )

2B F F L Cv t K e t h t K k kττ τ= − − − = −

Page 36: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 34

Ondes électromagnétiques

Réflexion et transmission sur une interface plane

Conducteur métallique ohmique

Page 37: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 35

Guides d’ondes métalliques

Cavités réctangulaires

Page 38: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 36

Physique des composants I & II

Jonction PN à l’équilibre (non polarisée) Barrière de potentiel

( )xpxn21

ni

NNln

qkTV max2

DAb +ξ−=⎟⎟

⎞⎜⎜⎝

⎛=

Largeur de la ZCE

DADAbSC

ZCE NNNN

NavecNq

V2W

+=

ε=

Champ électrique maximum ZCE

bmax W

V2−=ξ

x

P

−qVb

WZCE

EF

EV

EC

Energies (J)N

−xp xn0 x

P

−qVb

WZCE

EF

EV

EC

Energies (J)N

−xp xn0

Lien entre dopages et longueurs des ZCE xpNxnN DA =

Jonction PN hors équilibre

x

P

−q(Vb − Va)

WZCE

EF

EV

EC

Energies (J)N

−xp xn0

EFp

EFn

x

P

−q(Vb − Va)

WZCE

EF

EV

EC

Energies (J)N

−xp xn0

EFp

EFn

ln(I)

Va0

JS

(1) (2) (3) (4)

(1) Génération(2) Recombinaison(3) Diffusion(4) Forte injection

ln(I)

Va0

JS

(1) (2) (3) (4)

(1) Génération(2) Recombinaison(3) Diffusion(4) Forte injection

Largeur de la ZCE Densité d’électrons en −xp

( ) ⎟⎠

⎞⎜⎝

⎛=−

kTqV

expNn

xpn aA

2i

p

( )Nq

VaVb2W SC

ZCE−ε

= Densité de trous en xn

( ) ⎟⎠

⎞⎜⎝

⎛=

kTqV

expNn

xnp aD

2i

n

Diode longue ⎥⎦

⎤⎢⎣

⎡−⎟

⎞⎜⎝

⎛=⎥

⎤⎢⎣

⎡−⎟

⎞⎜⎝

⎥⎥⎦

⎢⎢⎣

⎡+=+= 1

kTqV

expJ1kT

qVexp

NLD

NL

DqnJnJpJ a

Sa

Ann

Dp

p2iD

Diode courte ( ) ( ) ⎥

⎤⎢⎣

⎡−⎟

⎞⎜⎝

⎛=⎥

⎤⎢⎣

⎡−⎟

⎞⎜⎝

⎥⎥⎦

⎢⎢⎣

+−+

−=+= 1

kTqV

expJ1kT

qVexp

NWpxpD

NWnxn

DqnJnJpJ a

Sa

An

D

p2iD

Capacité liée à la ZCE polarisation en inverse

Conductance Capacité liée aux zones quasi-neutres

VaVbNq2

2SC SC

ZCE −ε

= qkTUtavec

UtI

VaI

g D

Vo

DD ==

∂∂

= TDS TgC = avec TT temps de transit

Jonction Schottky à l’équilibre (non polarisée) Barrière énergétique effective

FMCS EEWBe −=

Largeur de la ZCE

D

SCZCE Nq

Vb2W

ε=

WZCE

WBe

ECS

EFM

x

−qVb

EC

E (J)Métal Silicium

EF

WZCE

WBe

ECS

EFM

x

−qVb

EC

E (J)Métal Silicium

EF

Champ électrique maximum SC

ZCEDmax

WNqε

−=ξ

Page 39: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 37

Jonction Schottky hors l’équilibre (polarisée en direct) Densité de courant thermoélectronique

hkmq4Aavec

kTWexpTAJ

2**

2*T

π=

⎟⎠⎞

⎜⎝⎛ ∆−

=

−qVaEFs

WZCE

ECS

EFM x

−q(Vb – Va)

EC

E

−qVaEFs

WZCE

ECS

EFM x

−q(Vb – Va)

EC

E

Largeur de la ZCE ( )D

abSCZCE Nq

VV2W

−ε=

Courant ⎥⎦

⎤⎢⎣

⎡−⎟

⎞⎜⎝

⎛= 1

kTVq

expII aSD

Capacité liée à la ZCE polarisation en inverse

abDSC

ZCE VVNq2

2SC

−ε

=

Vo

IDO

Va

ID

gD

0 Vo

IDO

Va

ID

gD

0

Conductance q

kTUtavecUtI

VI

g D

VoaD

D ==∂∂

=

Transistor JFET polarisé en mode normal Courant Drain ⎥

⎤⎢⎣

⎡−⎥

⎤⎢⎣

⎡−=

A

DS2

P

GSDSSC V

V1

VV

1II

Capacité Grille-Source

31

GSGSOGS Vb

V1CC ⎥

⎤⎢⎣

⎡−= IDo

IDSS

gm

VGSo VDSo

gD

VDSVGS

ID

IDo

IDSS

gm

VGSo VDSo

gD

VDSVGS

ID

Capacité Grille-Drain

31

GDGDOGD Vb

V1CC ⎥

⎤⎢⎣

⎡−=

Capacité Grille-Substrat

21

GBGBOGB Vb

V1CC ⎥

⎤⎢⎣

⎡−=

Conductance

AD

VDSoDSD

D VI

VIg =

∂∂

=

B

D

S

G

VDSgDgm VGS

CGD

CGSVGSCGB

B

D

S

G

VDSgDgm VGS

CGD

CGSVGSCGB

Trans-conductance ⎟⎟

⎞⎜⎜⎝

⎛−−=

∂∂

=P

GSP

DSS

VGSoGSD

m VV1

VI2

VIg

Page 40: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 38

Capacité MOS

Métal Isolant Silicium

−qψb

−qΨS

−qVGB

EFM

EC

Ei

EFEV

ZCE

−qVox

(1) accumulation(2) Désertion(3) Inversion forte

(2)

Cox

VGB

C

0VFB Vth

BF

HFDésertionprofonde

(1) (3)

(2)

VGB

1/C2

0VFB Vth

BF

HF

Désertion profonde

(1) (3)Métal Isolant Silicium

−qψb

−qΨS

−qVGB

EFM

EC

Ei

EFEV

ZCE

−qVox

Métal Isolant Silicium

−qψb

−qΨS

−qVGB

EFM

EC

Ei

EFEV

ZCE

−qVox

(1) accumulation(2) Désertion(3) Inversion forte

(2)

Cox

VGB

C

0VFB Vth

BF

HFDésertionprofonde

(1) (3)(1) accumulation(2) Désertion(3) Inversion forte

(2)

Cox

VGB

C

0VFB Vth

BF

HFDésertionprofonde

(1) (3)(2)

Cox

VGB

C

0VFB Vth

BF

HFDésertionprofonde

(1) (3)

(2)

VGB

1/C2

0VFB Vth

BF

HF

Désertion profonde

(1) (3)(2)

VGB

1/C2

0VFB Vth

BF

HF

Désertion profonde

(1) (3)

Densité d’électrons à l’interface ⎟

⎞⎜⎝

⎛ Ψ=

kTq

expnn S0S

Equation aux potentiels ox

SCSFBGB C

QVV −Ψ+=

Détermination du dopage (Expression uniquement valablement en régime déserté) ( )FBGB

SiSUB2'ox

2'VV

qN2

C

1

C

1−

ε+=

Transistor MOSFET polarisé en mode normal Capacité surfacique de l’isolant

oxox0

ox T'C

εε=

Tension de seuil bbFBT 22VV ψγ+ψ+= Expression générale du courant ∫ Φ−=

DBV

SBVCn0DS dQµ

LWI

B

D

S

G

VDSgDgm VGS

CGD

CGSVGSCGB

B

D

S

G

VDSgDgm VGS

CGD

CGSVGSCGB

Régime linéaire (ou ohmique)

[ ]

LW'Cavec

VVVI

ox0

DSTGSD

µ=β

−β=

Régime quadratique DS

DSTGSD V

2V

VVI ⎥⎦

⎤⎢⎣

⎡−−β=

Régime saturé [ ] ⎥

⎤⎢⎣

⎡−−

β=

ADS2

TGSD VV

1VV2

I

Capacité Grille-Substrat en régime sous le seuil

⎥⎥⎦

⎢⎢⎣

⎟⎟

⎜⎜

ψ

γ+−=

SoxGB

2111LW'CC

IDo

VGSo VDSo

gD

VDSVGS

ID(1) ohmique(2) quadratique(3) saturé

Inversions(4) faible(5) forte

VT

gm (4)(5) (1)

(2)(3)

IDo

VGSo VDSo

gD

VDSVGS

ID(1) ohmique(2) quadratique(3) saturé

Inversions(4) faible(5) forte

VT

gm (4)(5) (1)

(2)(3)

Capacité Grille-Drain en régime quadratique

LW'C21C oxGD =

Capacité Grille-Source en régime saturé

LW'C32C oxGD =

Conductance

AD

VDSoDSD

D VI

VIg =

∂∂

= 2/31/2

1

VDS + VTVFB VT VGS

CGD

CGB CGS

CGi / C’OX W L

2/31/2

1

VDS + VTVFB VT VGS

CGD

CGB CGS

CGi / C’OX W L

Transconductance DSo

VGSoGSD

m I2VI

g β=∂∂

=

Page 41: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 39

Transistor MOSFET : extraction des paramètres (VDS ≤ 50 mV) Mobilité effective

⎟⎟⎠

⎞⎜⎜⎝

⎛−θ

µµ

2V

V-V+1=

DSTGS1

0eff

VGS

Y

DS0ox V'CLW

µ

2VV DS

T + VGS

Y

DS0ox V'CLW

µ

2VV DS

T +

Trans-condutance DS2

DSTGS1

0oxm V

2V

VV+1

'CLW=g

⎥⎦

⎤⎢⎣

⎡⎟⎠

⎞⎜⎝

⎛−−θ

µ

Fonction Y

⎟⎠

⎞⎜⎝

⎛−−µ==

2V

VVV'CLW

gI

Y DSTGSDS0ox

m

DS W

2VVV DS

TGS −−

DS0ox V'WCLµ

θ

W

2VVV DS

TGS −−

DS0ox V'WCLµ

θ Fonction W

⎥⎦

⎤⎢⎣

⎡⎟⎠

⎞⎜⎝

⎛−−θ+

µ==

2V

VV1VWC

Lg1W DS

TGSDS0oxm

Transistor Bipolaire polarisé en mode normal

IBo

ICo

VBEo

VCEo

gDβ

VCE

VBE

IB

IC

rBE

IBo

ICo

VBEo

VCEo

gDβ

VCE

VBE

IB

IC

rBE

C

E

B

VcegDgm Vbe

CBC

CBErbeVbe

C

E

B

VcegDgm Vbe

CBC

CBErbeVbe

Courant Collecteur ⎥

⎤⎢⎣

⎡−⎥

⎤⎢⎣

⎡−⎟

⎞⎜⎝

⎛=

ACEBE

SCC VV

11kTVq

expII Courant Base ⎥

⎤⎢⎣

⎡−⎟

⎞⎜⎝

⎛β

= 1kTVq

expI

I BESCB

Courant Emetteur BCE III += Capacité Base-Collecteur MJBC

JOBCBC VbV

1CC ⎥⎦

⎤⎢⎣

⎡−=

Conductance

ACE

VCEoCEC

D VI

VIg =

∂∂

= Résistance dynamique d’entrée

UtI

VI

r B1

BEoVBEB

be =⎟⎟⎟

⎜⎜⎜

∂∂

=

Transconductance Ut

IVIg CEo

VBEoBEC

m =∂∂

=Capacité Emetteur-Base TB : temps de transit des porteurs minoritaires dans la base

BDBE TgC =

Page 42: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 40

Physique des composants III

Modélisation de l’inductance

En mode commun :

R

L

port 1

Zin R

L

port 1

ZinZin

11

11 11

11

1ImY1 1 1R+jX= ; L= Im ; Q=

Y 2πf Y 1ReY

⎛ ⎞⎜ ⎟⎛ ⎞ ⎝ ⎠

⎜ ⎟ ⎛ ⎞⎝ ⎠⎜ ⎟⎝ ⎠

En mode différentiel : R L

port 1 port 2Zin

R L

port 1

R L

port 1 port 2Zin

12

12 12

12

1ImY1 1 1R+jX= - ; L= Im ;Q=

Y 2πf Y 1ReY

⎛ ⎞⎜ ⎟⎛ ⎞ ⎝ ⎠−⎜ ⎟ ⎛ ⎞⎝ ⎠⎜ ⎟⎝ ⎠

Modèle approché de l’inductance spirale

w

n=2

davg

ρ=dtracesdavg

dtraces

OD

=L

w

n=2

davg

ρ=dtracesdavg

ρ=dtracesdavg

dtracesdavg

dtraces

OD

=L

2202 2,067ln 0,178 0,125n davgL µ ρ ρ

π ρ⎡ ⎤⎛ ⎞

= + +⎢ ⎥⎜ ⎟⎝ ⎠⎣ ⎦

Déterminations des éléments parasites pour les composants passifs

Cox Rsub

Cox C subC ox C sub

Rsub pente de la courbe

en BF Im sub

oxYCω

⎛ ⎞= ⎜ ⎟⎝ ⎠

en HF Imox sub

ox sub

subC CC C

⋅ ⎛ ⎞= ⎜ ⎟+ ⎝ ⎠

Alternative en BF

( )2 2 Resub ox subR C Yω⋅ ⋅ =

La diode Schottky :

Métal

W

SCN+ + ++ + +Métal

W

SCN+ + ++ + +

1/ 22( ) 1 ;D

bD

qN W xE x W VW qN

εε

⎛ ⎞− ⎛ ⎞= − = ⎜ ⎟⎜ ⎟⎝ ⎠ ⎝ ⎠

Equation du courant dans la diode

qVI=Is. exp -1η.K.T

⎡ ⎤⎛ ⎞⎢ ⎥⎜ ⎟

⎝ ⎠⎣ ⎦

* 2 Bn

2* md

3

-qJs=A T expKT

4.q.π.K .mA =h

ϕ⎛ ⎞⎜ ⎟⎝ ⎠

Page 43: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 41

Caractérisation de la diode Schottky

Is

10

10

10

-6

-5

-4

10

-3

Vd(V)

0,2 0,6

Id(A)

Is

10

10

10

-6

-5

-4

10

-3

Vd(V)

0,2 0,6

Id(A)

Caractéristique directe en semi-log

* 2ηKT A T SVb= lnq Is

⎛ ⎞⎜ ⎟⎝ ⎠

1 q dVdη=M KT dlog(Id)

M log népérien de 10

MESFET Réseau RC distribué sous la grille

G G

S DRs

∆Cg

Rd∆Rc

∆Rdg

G G

S DRs

∆Cg

Rd∆Rc

∆Rdg

dgdVg KTRdIg qIg

η= =

c11

c12 21

22 c

R Rdg(z )= +3 1+jRdgCgω

R(z )= (z )=2

(z )=R

⎧ ⎛ ⎞ℜ ℜ⎪ ⎜ ⎟

⎝ ⎠⎪⎪⎪ ℜ ℜ⎨⎪

ℜ⎪⎪⎪⎩

Performance du MESFET

Gain unilatéral Fréquence maximale d’oscillation Fréquence de coupure

21 14 . .

gmUCgs Ri gdω

⎛ ⎞= ⎜ ⎟

⎝ ⎠

1/ 2

max1

4 .gmfCgs Ri gdπ

⎛ ⎞= ⎜ ⎟

⎝ ⎠ quand Umax=1

2gmfCgsπ

=

(gain en courant =1 sortie CC)

Bruit thermique

Représentation de type Norton

I 2R=1/G I 2I 2R=1/G

Représentation de type Thévenin

V2R V2V2R

( ) 4iS f kTG= ( ) 4VS f kTR=

Page 44: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 42

Physique des Semi-Conducteurs

Bandes d’énergie

atome EG (eV) type

C (Carbone) 5.5 Isolant

Si (Silicium) 1.12 SC

Ge (Germanium) 0.7 SC

EG

Énergiedes

électrons

Distance inter-atomiqued0

di

E4N états, 0 électrons (BC)

4N états4N électrons (BV)

6N états/2N électrons(sous niveau «p»)

2N états/2N électrons(sous niveau «s»)

EC

EV

EG

Énergiedes

électrons

Distance inter-atomiqued0

di

E4N états, 0 électrons (BC)

4N états4N électrons (BV)

6N états/2N électrons(sous niveau «p»)

2N états/2N électrons(sous niveau «s»)

EC

EV

Sn (Etain) 0 Conduc.

Densités d’états

Electrons ( ) ( )n E m E EC

nC= ⎛

⎝⎜⎞⎠⎟

−12

22 2

32 1

2π h

Trous

( ) ( )n Em

E EVp

V=⎛

⎝⎜

⎠⎟ −1

2

22 2

32 1

2π h

Probabilité d’occupation d’un niveau d’énergie E par un :

Electrons ( )

1F

n kTEE

exp1Ef−

⎥⎦

⎤⎢⎣

⎡⎟⎠

⎞⎜⎝

⎛ −+=

Trous ( ) ( )

1F

np kTEE

exp1Ef1Ef−

⎥⎦

⎤⎢⎣

⎡⎟⎠

⎞⎜⎝

⎛ −+=−= -0.2 -0.1 0.0 0.1

0.0

0.3

0.6

0.9T = 0 K, 50 K,150 K, 300 K, 500 K

f n(E)

E-EF (eV)

Statistique de Boltzman

Electrons

E - EF > qqs kT ( ) ⎟

⎞⎜⎝

⎛ −−≈

kTEE

expEf Fn Trous

EF - E > qqs kT ( ) ⎟

⎞⎜⎝

⎛ −−≈

kTEE

expEf Fp

Concentration des porteurs à l’équilibre (Statistique de Boltzman) Electrons

( ) ( ) ⎟⎟⎠

⎞⎜⎜⎝

⎛ −−== ∫ kT

EEexpNdEEfEnn FC

CmaxE

CE nC0

E

fn(E)1

EC

EV

nC(E)n(E)

EF0.5

E

fn(E)1

EC

EV

nC(E)n(E)

EF0.5

Trous

( ) ( ) ⎟⎟⎠

⎞⎜⎜⎝

⎛ −−== ∫ kT

EEexpNdEEfEnp VF

VVE

minE pV0

E

fp(E)1

EC

EV

nV(E)p(E)

EF0.5

E

fp(E)1

EC

EV

nV(E)p(E)

EF0.5

Densité équivalente d’états dans la :

Bande de conduction ramenée en EC N

m kTh

Cn= ⎛

⎝⎜⎞⎠⎟

22

2

32π Bande de valence ramenée

en EV 2

3

2p

Vh

kTm22N ⎟

⎟⎠

⎞⎜⎜⎝

⎛ π=

Page 45: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 43

Niveau de Fermi intrinsèque Loi d’action de masse

( ) 2EEE VCFi −= ( )( ) ( )TnkTEexpNNpn 2iGVC00 =−=

Concentration des porteurs à l’équilibre (Statistique de Boltzman) en fonction de ni

Electrons ( ) ⎟⎠

⎞⎜⎝

⎛ −=

kTEE

expTnn FiFi0

Trous ( ) ( )

0

2iFiF

i0 nTn

kTEE

expTnp =⎟⎠

⎞⎜⎝

⎛ −−=

Semi-conducteur dopé

Donneur n0 >> p0 , n0 = ND Accepteur p0 >> n0 , p0 = NA

E E kTNN

E kTNnF C

C

DFi

D

i= −

⎛⎝⎜

⎞⎠⎟ = +

⎛⎝⎜

⎞⎠⎟ln ln E E kT

NN

E kTNnF V

V

AFi

A

i= +

⎛⎝⎜

⎞⎠⎟ = −

⎛⎝⎜

⎞⎠⎟ln ln

Structure à l’équilibre : le niveau de Fermi est plat (le courant est nul)

Théorème de GAUSS

( )( )∫∫∫

∫∫∫∫∫ ξ=

ε

ρ

=ξVSC

V

SCSdVdiv

dVrQ

dSnr

r

rr

nr

nr

dV( )rr

ρQdS

nr

nr

dV( )rr

ρQdS

Equation de la neutralité Equation de Poisson 1D

0nNpN AD =⎥⎦⎤

⎢⎣⎡ −−+ −+

⎥⎦⎤

⎢⎣⎡ −−+

ε−=

ερ

−=∂

∂ξ−=

∂ −+ nNpNq1

xx

VAD

SCSC

x2

2

Densité de courant (flux de charges (Am−2) qui passe par unité de surface)

Electrons ( )ngradqDqnµj nnn +ξ=rr

Trous ( )pgradqDqpµj ppp −ξ=rr

Les quasi-niveaux de Fermi (perturbation forte de l’équilibre)

Electrons

EFn ( )f E

E EkT

nFn

=+

−⎛⎝⎜

⎞⎠⎟

1

1 exp

n nE E

kTiFn Fi=

−⎛⎝⎜

⎞⎠⎟

exp

Trous

EFp ( )f E

E EkT

pFp

=

+−⎛

⎝⎜

⎠⎟

1

1 exp

p nE E

kTiFp Fi= −

−⎛

⎝⎜

⎠⎟exp

Equation de continuité

Electrons ( ) ( ) ( )nnn jdivq1

RGdt

tnddt

tdn r+−=

∆=

Trous ( ) ( ) ( )ppp jdivq1

RGdt

tpddt

tdp r−−=

∆=

Recombinaison des porteurs (m−3s−1)

Electrons ( )n

0n

nnnn

dttdnR

τ−

=τ∆

== Trous ( )p

0p

pppp

dttdpR

τ−

=τ∆

==

Longueur de diffusion Relation d’Einstein

Electrons L Dn n n= τ Electrons q/kTD nn µ=

Trous ppp DL τ= Trous q/kTD pp µ=

Page 46: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 44

Signaux aléatoires

Terminologie

Description statistique

Stationnarité

Page 47: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 45

Description temporelle

Densité spectrale de puissance

Filtrage linéaire de processus aléatoires

Estimateurs non paramétriques basés sur N échantillons d’un signal aléatoire x

Page 48: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 46

Signaux et Systèmes Continus

Un système est un dispositif isolé constitué par une combinaison de composants élémentaires interconnectés les uns aux autres suivant une structure déterminée et exerçant collectivement une fonction donnée.

Un système physique à paramètres localisés consiste en un assemblage d’éléments physiques définis par des paramètres constants ou variables et possède un nombre fini de degrés de liberté.

Un signal est dit continu ou analogique lorsqu’il dépend d’une variable continue le temps.

Un système est dit univariable ou scalaire lorsqu’il ne possède qu’une seule entrée et une seule sortie. Lorsqu’un système possède plusieurs entrées et plusieurs sorties, il est dit multivariable ou vectoriel.

Un système physique est dit continu si toutes les grandeurs qui le caractérisent sont de nature continue, c’est à dire si leur évolution dans le temps est un signal continu au sens mathématique du terme.

Un système continu est linéaire s’il satisfait au principe de superposition : la réponse temporelle d’un système linéaire produite par différents signaux d’entrée, agissant simultanément , est égale à la somme des réponses produites par chacun des signaux agissant séparément. Un système ne satisfaisant pas au principe de superposition est non linéaire.

Un système linéaire continu est régi par une équation différentielle ordinaire dépendante du temps lorsqu’au moins un des coefficients de l’équation dépend explicitement du temps t, ou par une équation différentielle ordinaire indépendante du temps lorsque aucun de ses coefficients ne dépend du temps.

Un système linéaire continu est stationnaire ou invariant s’il satisfait au principe de permanence : la réponse du système au signal retardé de τ est la réponse retardée de τ .

Un système physique réel où la variable indépendante est le temps obéit au principe de causalité : le signal de sortie ne peut précéder l’application du signal d’entrée.

Les réponses temporelles des systèmes linéaires continus sont déterminées en utilisant la transformation

de Laplace. La transformée de Laplace de la fonction y(t) est [ ] ∫∞

−==0

ptdty(t)ey(t)LY(p) .

La transformée de Laplace est linéaire :

[ ] [ ] [ ] (p)Y(p)Y(t)yL(t)yL(t)y(t)yL 212121 +=+=+ (t)yet(t)y 21∀

[ ] [ ] kY(p)y(t)kLky(t)L == y(t)etconstantk∀ .

Elle possède les propriétés suivantes :

y(0)pY(p)dtdyL −=⎥⎦

⎤⎢⎣⎡ Y(p)

p1y(s)dsL

t

0=

⎥⎥

⎢⎢

∫ [ ] ⎥⎦⎤

⎢⎣⎡=apY

a1y(at)L

[ ] a)Y(py(t)eL at +=− [ ] [ ]Y(p)dp

d1)(y(t)tLn

nnn −= [ ] Y(p)ea)a)u(ty(tL ap−=−−

[ ] [ ]p)Y(a,dadt)y(a,

dadL =⎥⎦

⎤⎢⎣⎡ pY(p)limy(t)lim)y(0

p0t ∞→+→

+ == pY(p)limy(t)lim0pt →∞→

=

si tous les pôles de Y(p), sauf 0p = , ont des parties réelles négatives

Page 49: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 47

La réponse permanente d’un système linéaire continu est la partie de la réponse qui ne tend pas vers zéro lorsque le temps tend vers l’infini. La réponse transitoire est la partie de la réponse qui tend vers zéro lorsque le temps tend vers l’infini.

La fonction de transfert d’un système linéaire continu, initialement au repos, est la transformée de Laplace de sa réponse impulsionnelle [ ]h(t)LH(p) = .

Le graphe de fluence associé à un système linéaire continu est un réseau de branches orientées interconnectées en certains points appelés nœuds, définissant de façon unique un système d’équations algébriques linéaires.

La variable dépendante jX , associée au puits (nœud qui ne possède que des branches convergentes) d’un graphe de fluence, s’obtient en fonction de la variable 0X associée à une source (nœud où n’arrive

aucune branche) par la règle de Mason : D

DG

XX k

kk

0j

∑= où ...PPP1D m3m2m1 +−+−= ∑∑∑

est le déterminant, kG est le gain du ièmek parcours ouvert allant de 0X à jX , kD est le déterminant de

la portion de graphe qui ne touche pas le ièmek parcours ouvert, mnP est le produit des gains de la ièmem combinaison de n boucles qui ne se touchent pas.

La description des systèmes linéaires continus invariants peut également s’effectuer en considérant les équations d’état :

Bu(t)Ax(t)(t)x' += , Du(t)Cx(t)y(t) +=

où x(t) est le vecteur d’état (n x 1), u(t) le vecteur d’entrée (p x 1), y(t) le vecteur de sortie (q x 1), A la matrice d’évolution (n x n), B la matrice d’application de la commande (n x p), C la matrice d’observation (q x n) et D la matrice de transmission directe (q x p).

L’équation de transition d’état est :

∫ −+=t

0τ)Bu(τ)dτΦ(tΦ(t)x(0)x(t) , 0t ≥

où Φ(t) est la matrice de transition d’état [ ]( )11 ApILΦ(t) −− −= .

Un système décrit par les équations d’état précédentes est complètement :

contrôlable si la matrice [ ]BABAABBS 1n2 −= L est de rang n ;

observable si la matrice [ ]T1nTT2TTTT C)(AC)(ACACV −= L est de rang n.

Page 50: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 48

Systèmes Asservis linéaires

L’automatique est un ensemble de théories mathématiques et une technique de raisonnement qui consiste à déterminer les signaux de commande qu’il faut appliquer à un système ou processus pour que sa réponse satisfasse les performances imposées.

Un système de commande en boucle ouverte est un système où le signal de commande est indépendant du signal de sortie. Un système de commande en boucle fermée ou système asservi est un système où le signal de commande dépend d’une façon ou d’une autre du signal de sortie. Tout système de commande ou système asservi peut être représenté par le schéma fonctionnel suivant :

Structure de commande g1 ε = −e r

Perturbation

u Système decommande g2

+ - e

signal d'entrée signal

d'erreur

signal de commande signal de

sortie

signal de retour

r s

Chaîne d'action

Organes de retour h

Chaîne de retour

p

Dans ce schéma :

• l’élément 1g , appelé structure de commande ou régulateur, constitue l’organe destiné à la production du signal de commande u approprié qui est transmis au système ;

• l’élément 2g , appelé système de commande, représente le système ou processus dont on asservit un paramètre ;

• l’élément h, appelé organe de retour, représente les organes nécessaires à la formation de la liaison fonctionnelle entre le signal de retour r et la grandeur de sortie s ;

• le signal de retour r est un signal qui est fonction du signal de sortie s ; • le signal d’erreur ε est un signal constitué par la différence algébrique du signal d’entrée e avec

le signal de retour r ; • le signal de commande u est le signal issu de la structure de commande et appliqué au

processus ; • la chaîne d’action ou chaîne directe est la chaîne de transmission allant du signal d’erreur ε au

signal de sortie s : elle possède une grande sensibilité, un manque de fidélité et met en jeu un organe de puissance ;

• la chaîne de retour ou chaîne de réaction est la chaîne de transmission allant du signal de sortie s au signal de retour r : elle possède une grande fidélité et met en jeu une puissance faible.

Le schéma bloc s’obtient en remplaçant les éléments par leurs transmittances.

Page 51: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 49

+- G1 G2E Ε S

P

R

U

Chaîne d'action

H

Chaîne de retour En l’absence de perturbation ( 0P = ), 21GGG = est la fonction de transfert directe ou d’action, H la fonction de transfert de retour, GH la fonction de transfert de boucle ou en boucle ouverte. La fonction de

transfert en boucle fermée est GH1

GES

+= . Lorsque le signal de retour coïncide avec le signal de sortie,

le système asservi est à retour unité.

Une condition nécessaire et suffisante pour qu’un système asservi linéaire soit stable est que tous les pôles de la fonction de transfert ou tous les zéros de l’équation caractéristique 0GH1 =+ aient leurs parties réelles négatives. Le système est asymptotiquement stable si la partie réelle de tous les zéros de l’équation caractéristique est strictement négative. Si l’équation caractéristique admet un pôle à l’origine ( 0p = ) ou des pôles imaginaires conjugués ( 1jωp ±= ) d’ordre de multiplicité égal à un, le système est simplement stable.

Le degré de stabilité ou stabilité relative d’un système à retour unité de fonction de transfert en boucle ouverte T(p) s’obtient en déterminant une marge de phase et une marge de gain. La marge de gain est

)T(jω1∆G

π

= en valeur arithmétique où )T(jω20log∆G π10−= en décibels, où πω , pulsation

d’inversion de phase, est la pulsation pour laquelle la phase de )T(jω vaut –180°. La marge de phase est

))arg(T(jω180∆ 1+=ϕ en degrés où 1ω , pulsation de coupure, est la pulsation pour laquelle 1)T(j =ω .

Pour un système asservi dont la fonction de transfert en boucle ouverte est

αnαn1

mm1

α pa...pa1pb...pb1

pKT(p) −

−++++++

= , 0α ≥ , mn ≥ , les constantes d’erreur de position pK , de vitesse vK et

d’accélération aK sont données par α0pp pKlimK

→= , 1α0pv p

KlimK −→= , 2α0pa p

KlimK −→= . Les erreurs de position

01ε , de vitesse 02ε et d’accélération 03ε ont pour valeur p

01 K11ε

+= ,

v02 K

1ε = , a

03 K1ε = .

Lorsque les performances du système asservi ne sont pas satisfaisantes, on compense celui-ci en adjoignant un correcteur, placé généralement dans la chaîne directe, ayant pour fonction de transfert

Tp1D(p) += , T > 0, (action proportionnelle et dérivée), p11D(p) += (action proportionnelle et

intégrale) ou pTpT

11D(p) 21

++= (action proportionnelle intégrale et dérivée).

Page 52: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 50

Systèmes Combinatoires et Séquentiels

Les systèmes de commutation se décomposent en :

systèmes combinatoires ou systèmes de pure combinaison tels qu’à chaque état ou combinaison de valeur des entrées correspond un seul état des sorties ;

systèmes séquentiels tels qu’à un même état des entrées peuvent correspondre des états de sortie différents selon l’évolution antérieure du système.

Les variables d’entrée d’un système de commutation appartiennent à l’algèbre de Boole ou algèbre binaire, notée B = < E , + , . , 0 , 1 >, où E est l’ensemble de définition sur lequel sont définies deux opérations internes appelées respectivement addition (notée +) et multiplication (notée .) et sur lequel il existe une relation d’équivalence (notée = ). Cette algèbre possède les propriétés de symétrie ( ABBA =↔= ), de réflexivité ( AA = ) et de transitivité ( CACBetBA =→== ), ECetBA, ∈∀∀∀ .

Les éléments A, B, C ∈E satisfont les axiomes suivants :

CBAC)(BACB)(A ++=++=++ C.B.AC).(B.AC.B).(A ==

ABBA +=+ A.BB.A =

A0A =+ A1.A =

C.AB.AC)(B.A +=+ C)(A.B)(AC).(BA ++=+

0A.A = , A est le complément de A 1AA =+

Les éléments de E vérifient les théorèmes suivants :

AAA =+ AA.A = 11A =+

00.A = AB.AA =+ AB)(A.A =+

BAB.AA +=+ B.AB)A(.A =+ A est unique

AA)A( == B.ABA =+ BAB.A +=

Les variables de sortie d’un système de commutation sont des fonctions booléennes ou fonctions binaires qui peuvent être simplifiées en utilisant les diagrammes de Karnaugh.

L’équation d’un système combinatoire, défini par un cahier des charges, et régi par une table de vérité qui traduit la correspondance entre le vecteur d’entrée X et le vecteur de sortie Z est :

F(X)Z = ou [ ]X(t)FZ(t) = .

Un système séquentiel fonctionne soit dans le mode asynchrone soit dans le mode synchrone selon que son changement d’état se produit à des instants quelconques incontrôlables de l’extérieur ou à des instants réguliers contrôlables de l’extérieur et fixés par une horloge.

Les équations générales d’un système séquentiel asynchrone, défini par son cahier des charges, traduisent d’une part la mémoire du système, c’est à dire l’évolution du vecteur état interne Y en fonction du vecteur d’entrée X et d’autre part définissent le vecteur de sortie Z :

[ ]Y(t)X(t),G∆)Y(t =+ , [ ]Y(t)X(t),FZ(t) = .

Page 53: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 51

Dans un tel système, les fonctions de mémoire sont remplies implicitement par les circuits logiques composant le système et les chaînes de réaction. Les retards représentent le temps de réponse des éléments composant les différentes boucles du système.

L’état interne d’un système séquentiel est un état donné des variables internes, c’est à dire un état donné de toutes ses mémoires. L’état total d’un système séquentiel est un état donné des variables internes et des variables d’entrée. L’état total d’un système séquentiel est stable si Y(t)∆)Y(t =+ : le système conserve le même état tant que X(t) conserve sa valeur. L’état total est instable si Y(t)∆)Y(t ≠+ : le système change d’état, l’état Y(t) étant remplacé au bout du temps ∆ par l’état ∆)Y(t + qui peut être lui-même stable ou instable.

Les équations générales d’un système séquentiel synchrone sont :

[ ]NN1N Y,XGY =+ , [ ]NNN Y,XFZ = .

Dans un tel système, les fonctions de mémoire sont remplies par des mémoires binaires qui sont des dispositifs permettant de mémoriser l’état d’une variable binaire.

Le fonctionnement d’un système séquentiel est décrit par différentes tables qui décrivent les relations précédentes :

la matrice d’excitations secondaires définit l’état des variables internes à l’instant suivant, codé en binaire, en fonction des variables d’entrée et des variables internes à l’instant présent ;

la matrice de sortie définit l’état des variables de sortie en fonction des variables d’entrée et des variables internes ;

la table de fluence est une synthèse de la matrice d’excitations secondaires et de la matrice de sortie en remplaçant le codage binaire des états internes par une représentation décimale.

Le fonctionnement d’un système séquentiel asynchrone est plus particulièrement décrit par une matrice des phases, obtenue à partir de la matrice d’excitations secondaires ou de la table de fluence, en distinguant les états stables et les états instables.

Une matrice des phases ou une table de fluence est complète si, pour toute entrée, l’état suivant et la sortie sont complètement spécifiées. Dans le cas contraire, la matrice des phases ou la table de fluence est incomplète.

Deux états internes d’un système séquentiel sont équivalents si, pour toute entrée, les sorties sont égales et les états suivants sont égaux ou équivalents.

Deux états internes d’un système séquentiel sont pseudo-équivalents ou compatibles si, pour toute entrée, les sorties sont égales lorsqu’elles sont toutes deux définies et les états suivants sont compatibles lorsqu’ils sont tous deux définis.

Page 54: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 52

Technologie des composants

1970 1975 1980 1985 1990 1995 2000 2005103

104

105

106

107

108

109

Capacitémémoire

Années

DRAM

1970 1975 1980 1985 1990 1995 2000 2005103

104

105

106

107

108

109

Capacitémémoire

Années

DRAM

La loi de Moore, mémoire DRAM. Le nombre de composants sur une puce double tous les 18 mois.

Cette augmentation entraîne la réduction de la taille des composants et des contraintes industrielles :

• Diminution des dimensions, augmentation de la densité d’intégration et du coût de fabrication (silicium)

• Procédés technologiques de plus en plus complexe, nombre de masques croissant

• Temps de cycle relativement long

Le silicium

C'est l'élément le plus abondant sur la terre après l‘oxygène (27,6%). Il n'existe pas à l'état libre mais sous forme de composés : sous forme de dioxyde silice (dans le sable le quartz, etc) ou de silicates.

La méthode de Czochralski (silicium CZ, 80 % de la production) permet à partir de germe de silicium « plongé » dans du Si liquide d’obtenir des lingots de silicium de qualité électronique.

Silicium et semi-conducteur : Si monocristallin

Ses propriétés de semi-conducteur ont permis la création de la deuxième génération de transistor, puis les circuits intégrés

• Réduction de la mobilité des porteurs par la présence d'imperfections (joints de grains, dislocations…)

• Haute pureté (qualité électronique) : moins de 1 atome étranger (en particulier d'éléments dopants) pour 1010 atomes de Si.

L’oxydation

1-Oxydation sèche : Si + O2 SiO2

Croissance lente, Forte densité d’oxyde, Bonne qualité (fort claquage, faible courant de fuite)

=> Oxyde de grille mince

2- Oxydation humide

Si + 2 H20 SiO2 + 2H2

4 étapes : Oxyde mince sec, Oxydation humide, Oxyde sec pour densifier l’oxyde formé, Recuit sous azote ou argon

Dépôt par réaction chimique en phase gazeuse (CVD, LPCVD) : Utilisation d’une réaction chimique entre réactifs gazeux dont un des produits est le solide que l’on souhaite déposer.

CH2 H2 + 2C (Pyrolyse) / SiCl4 + 4 H2O SIO2 + 4 HCl (Oxidation)

La diffusion La diffusion est la migration d'une espèce sous l’effet de l'agitation thermique ou d’une force

(électrostatique, chimique) : DxC

tC 2

∂∂

=∂∂

Solution « erfc » : N(x,t) = N0 erfc(u) Opération de pré déposition

Gaussienne : N(x,t) = N0 exp(-u2) Redistribution des dopants

Page 55: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 53

La gravure Dépôt résine – Alignement des masques - Insolation – Révélation - Gravure Résine positive : Les résines positives pour lesquelles le rayonnement UV entraîne une rupture des macromolécules, d'où une solubilité accrue des zones exposées dans le révélateur.

Résine négative : Les résines négatives pour lesquelles le rayonnement ultraviolet entraîne une polymérisation des zones exposées, conférant

L’implantation ionique - Réalisation de couches actives Ions créés à partir de molécules de gaz. Bombardement du substrat avec des ions B+ (Bore), P+ (Phosphore), As+ (Arsenic),accélération des ions sous forte ddp (dizaines de KVolts), ions pénètrent le substrat grâce a leur énergie (q V).

Inconvénients : Dommages engendrés par l’implantation, possibilité de réparer avec un recuit thermique.

Avantages : • L'énergie des ions contrôle la profondeur implantée. • Contrôle de la concentration, introduction un à un des ions

Implantation possible de plusieurs types de matériaux, isolants, semi-conducteurs et conducteurs.

• Possibilité de réaliser des motifs d’implantation.

Principales étapes de fabrication du TMOS

Formation de la couche d’oxyde mince

Caisson n

Substrat p

n

Formation de la couche d’oxyde mince

Caisson n

Substrat p

n

Oxyde épais

Substrat p

Gravure de la couche d’oxyde épais

Substrat p

Oxyde épais

Substrat p

Oxyde épais

Substrat p

Gravure de la couche d’oxyde épais

Substrat p

Gravure de la couche d’oxyde épais

Substrat p

Formation du caisson n par implantation de phosphore

Caisson n

Substrat p

Formation du caisson n par implantation de phosphore

Caisson n

Substrat p

Formation du caisson n par implantation de phosphore

Caisson n

Substrat p

Dépôt polysilicium

Caisson n

Substrat p

Dépôt polysilicium

Caisson n

Substrat p Substrat p

Formation des grilles

Caisson n

Substrat p

Formation des grilles

Caisson n

Formation des grilles

Caisson nCaisson n

Diffusion dopants n D

p

nn

Implant phosphore

Diffusion dopants p Formation des transistors n-MOSet p-MOS

nn

e Implant bore

N+ N+n

N+ N+ p+ p+n

N+ N+ p+ p+

Page 56: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 54

Test des Circuits Intégrés Numériques

Définition

Objectif : Le test d’un circuit numérique consiste à mettre en évidence son mauvais fonctionnement du à la présence d’une défaillance physique ou défaut.

Test paramétrique : Le test paramétriques consiste à mesurer des grandeurs physiques (Tension, courant, temps de monté/descente etc…) sur un circuit ou sur des motifs de test afin de valider que les grandeurs mesurées sont dans les spécifications.

Test logique : Le test logique ou fonctionnel consiste à inspecter les données logiques en sortie d’un circuit et à les comparer aux données logiques attendues

Différents types de test sont effectués aux différentes étapes de la fabrication d’un système.

Modèle de fautes

Fautes de collage

(niveau porte)

Faute de court-circuit

(niveau porte)

Fautes électriques

(niveau transistor)

Fautes temporelles

(niveau portes)

- Collage à 0

- Collage à 1

- OU logique

- ET logique

- transistor collé ouvert (Stuck-off)

- transistor collé fermé (Stuck-on)

- court-curcuit (short)

- circuit-ouvert (open)

- modèle local

(porte)

- modèle global

(chemin)

Test des mémoires

Modèle de fautes Algorithme de test

- Fautes d’adressage

- Fautes de collage

- Fautes de transition

- Fautes de couplage et de voisinage

- Fautes de rétention

Complexité N: Checkerboard, row bar, column bar

Complexité N²: Walking 1 (0), Galpat

Complexité N3/2: Galrow, Galcol

Complexité k.N: type MARCH

Page 57: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 55

Simulateur de fautes et Générateur de vecteurs de test

Simulation de fautes :

Génération de vecteurs :

Algorithme de base D ou PODEM . Notation : D,D,x,1,0l ∈ Etape: Initialisation, Propagation du D du cite de la faute à une sortie primaire (Forward), Justification des valeurs sur les lignes jusqu’aux entrées primaires (Backward) ⇒ Vecteur de test

SCAN

1. Positionner le circuit en mode test T=1

2. Entrer par décalage le vecteur de test

3. Positionner les valeurs sur les entrées Xi

4. T=0 et attendre la propagation des valeurs sur Zi

5. Appliquer un front d’horloge sur H

6. T=1 et décalage des valeurs sur Zm

Le SCAN peut être connecté au Boudary Scan norme IEEE 1149 (JTAG)

BIST

Le BIST (Built In Self Test) consiste à générer les vecteurs et à vérifier les données logiques en sortie sur la puce. Le générateur peut faire appel à un contrôleur ou à une approche pseudo aléatoire (LFSR). Le comparateur peut être : un MISR, un décodeur de parité, BILBO etc…

Page 58: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 56

Transmission du signal II

La PLL comme un système bouclé

Gain de boucle ( ) ( ) ( )sKsKsT FBFWD= Fonction de transfert

( )( ) ( ) ( )

( )sT1sKFWDsH

sINsOUT

+==

Gain de boucle sous forme polynomiale ( ) ( )( )

( )( )...sss

...bsasKsTn β+α+

++′= Erreur de phase ( ) ( )

( )sT1sINs

+=ε

Erreur de phase en régime établi ( )[ ] ( )tlimsslimt0s

ss ε=ε=ε∞→→

La PLL comme un système du 1er ordre (sans filtre de boucle)

Fonction de transfert en boucle fermée

0vv

i0

K1

KsKV+

Bande passante de la PLL

D0V KKK = Gain en boucle ouverte

( )s

KsKK

sG VD0 ==

La PLL comme un système du 2ème ordre (avec filtre de boucle de type RC)

Fonction de transfert en boucle fermée ( )

2n

2n

2n

ss2sH

+ζω+ω

ω=

Pulsation propre non amortie τ

=ω D0n

KK

Coefficient d'amortissement D0

n

D0 KK21

KK21 ω

Gain en boucle ouverte ( ) ( )τ+=

s1sKK

sG D0

( )

20

2

0

1ppz21

1pH

ω+

ω+

=

Réponse à un échelon

Réponse harmonique

La PLL comme un système du 2ème ordre (avec filtre de boucle du premier ordre avec un zéro) Fonction de transfert en boucle fermée

( )2

n2

n

D0

2n

n2

n

ss2

KK2s

sH+ζω+ω

⎟⎟

⎜⎜

⎛ ω−ζω+ω

=

Fonction de transfert du filtre ( )

12

s1s1

sFτ+τ+

=

( )CRR 211 +=τ CR 22 =τ

Gain en boucle ouverte

( )12D0

s1s1

sKK

sGτ+τ+

= Coefficient d'amortissement ⎟⎟

⎞⎜⎜⎝

⎛+τ

ω=ζ

D02

nKK1

2

Pulsation propre non amortie 1

D0n

KKτ

( )

20

2

0

12

ppz21

p1pH

ω+

ω+

ω+

=

Réponse à un échelon

Réponse harmonique

Page 59: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 57

Détecteurs de phase (Vd)MAX = Kd ⇒ Pour un DP à caractéristique sinusoïdale (Vd)MAX = Kd.π/2 ⇒ Pour un DP à caractéristique triangulaire (Vd)MAX = Kd.π ⇒ Pour un DP à caractéristique en dent de scie

Courbes de détecteurs de phase avec la même pente à l'origine et même gain Kd

(Vd)MAX = Kd.2π ⇒ Pour un détecteur de phase et de fréquence (DPF)

Modulation de phase (vf = 0)

( )

( )

( ) ( )pV

ppFKK1

ppFK

p pd0

00

+=θ

( ) ( ) ( )pVpHK1p pd

0 =θ

H(p) est une fonction passe-bas

Modulation de fréquence (vp = 0) La pulsation de sortie du VCO varie de sorte que

( ) ( )ppp 00 θ=Ω Ainsi la variation Ωo due au signal modulant s'écrit

( ) ( )[ ] ( )pVpH1Kp f00 −=Ω

Démodulation de phase (PM)

( ) ( )[ ] ( )ppH1KpV idd θ−=

Démodulation d'un signal FM

( ) ( ) ( )pMK

pHpV0

c

Démodulation d'Amplitude Synchrone

Signal démodulé

( ) ( ) ( ) ( )[ ] ( )φ+=φ costms12AcostAtd

Démodulation d'amplitude pour un signal sans porteuse

Page 60: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 58

Transmissions numériques

Transmissions numériques en bande de base

Modèle de la transmission numérique N0

+ R HR[f]

G[f]

SB[f] E HC[f]

H[f]

UE UR

Hypothèses : Equiprobabilité de la source binaire en émission, Bruit additif Gaussien, Linéarité.

( )∑+∞

−∞=

−δ=

K

SK T.Kt.a)t(E ( )∑+∞

−∞=

−=

K

SBKE T.KtS.a)t(U

[ ] [ ] 2

1NSF

S

2Ka

SKa2

KaSR fH.)f(

T

m)T.f.N.2cos().N(2.

T1UDSP

⎪⎭

⎪⎬

⎪⎩

⎪⎨

⎧+πγ+σ= ∑

∞+

=

C

Critère de Nyquist

Domaine temporel :

)t(.)0(G)t(.)t(G ST δ=C

Domaine fréquentiel :

[ ] S

K

S T.)0(GF.KfG =−∑+∞

−∞=

Filtrage adapté

[ ]∫==σ

=

R

2H

0H

b

*R dffHEavec

NE.2)0(Galors]f[H.cte]f[H

Modèle de la transmission numérique avec partage optimal N0

+

UR

G[f]

][fNE HC[f]=cte

H[f]

UE

HR[f]

][fN R

Probabilité d’erreur de symbole avec un canal G[f] de Nyquist en M-aire

⎥⎦

⎤⎢⎣

⎡σ

−=

bS

)0(GQ

M)1M.(2

]E[P

Page 61: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 59

Filtres de Nyquist en cosinus surélevés

Domaine temporel Domaine fréquentiel

2

S

S

S

Tt21

Ttcos

Ttcsin

)0(G)t(G

⎟⎟⎠

⎞⎜⎜⎝

⎛α−

⎟⎟⎠

⎞⎜⎜⎝

⎛πα

⎟⎟⎠

⎞⎜⎜⎝

⎛=

[ ]2

F).1(fsi1

]0[GfG Sα−≤=

[ ]2F).1(fsi0fG Sα+≥=

[ ]⎥⎦⎤

⎢⎣⎡ α+α−∈

⎥⎥⎥⎥

⎢⎢⎢⎢

α

α+−π=

2F).1(;

2F).1(fsi

F..22F).1(f

cos]0[G

fG SSS

S2

Transmissions numériques en bande transposée

Probabilité d’erreur binaire minimale avec un canal de Nyquist à partage optimal en x-QAM

( )⎥⎥⎦

⎢⎢⎣

−−

=0b2

2minB N

E1M

)M(log.3Q

)M(logM1M4

]E[PEb/N0 est le rapport entre l’énergie moyenne par bit Eb et la DSP unilatérale du bruit N0 à l’entrée du filtre adapté

Tabulation de la ″queue de Gaussienne″

X Q(X) X Q(X) X Q(X) X Q(X) X Q(X)4.5 3.40080E-06 4.6 2.11464E-06 4.7 1.30232E-06 4.8 7.94353E-07 4.9 4.7987E-07

4.51 3.24440E-06 4.61 2.01545E-06 4.71 1.24004E-06 4.81 7.55636E-07 4.91 4.56041E-07

4.52 3.09490E-06 4.62 1.92073E-06 4.72 1.18062E-06 4.82 7.18738E-07 4.92 4.33353E-07

4.53 2.95200E-06 4.63 1.83029E-06 4.73 1.12394E-06 4.83 6.83575E-07 4.93 4.11755E-07

4.54 2.81543E-06 4.64 1.74393E-06 4.74 1.06989E-06 4.84 6.5007E-07 4.94 3.91195E-07

4.55 2.68492E-06 4.65 1.6615E-06 4.75 1.01833E-06 4.85 6.18147E-07 4.95 3.71626E-07

4.56 2.56021E-06 4.66 1.5828E-06 4.76 9.69163E-07 4.86 5.87736E-07 4.96 3.53002E-07

4.57 2.44106E-06 4.67 1.50769E-06 4.77 9.22282E-07 4.87 5.58766E-07 4.97 3.35279E-07

4.58 2.32723E-06 4.68 1.436E-06 4.78 8.77584E-07 4.88 5.31174E-07 4.98 3.18415E-074.59 2.21850E-06 4.69 1.36759E-06 4.79 8.34972E-07 4.89 5.04895E-07 4.99 3.02369E-07

Page 62: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 60

VHDL – Langage VHDL pour la synthèse numérique

VHDL : Very high speed integrated circuit Hardware Description Language Langage pour

• la modélisation d’ensembles électroniques • la simulation du comportement des modèles • la synthèse logique

Association Entity/Architecture Entity : code de description du symbole logique du circuit (définition des ports d’entrées/sorties) Architecture : code décrivant le comportement du circuit

• Partie déclarative (déclaration des signaux internes, composants, constantes, types, sous-programmes)

• Partie opératoire

Signaux Objets

• déclarés à l’intérieur d’une entity pour entrée ou sortie, ou dans la partie déclarative d’une architecture s’il s’agit d’un signal interne

• visibles dans toute l’architecture • l’affectation d’une valeur à un signal se fait avec un retard (infinitésimal)

Variables Objets

• déclarés à l’intérieur d’une unité séquentielle (process, procédure ou fonction) • visibles uniquement dans cette unité • l’affectation d’une valeur à une variable se fait à l’instant précis où l’instruction est exécutée

Hiérarchie • description des composants (entity, architecture) • déclaration des composants dans partie déclarative architecture du « top » (ou dans package) • instanciation des composants (port map) dans partie opératoire du « top » (label unique pour

chaque composant instancié)

Opérateurs • logiques : and, or, nand, nor, xor, not • relationnels : =, /=, <, <=, >, >= • arithmétiques : +, -, *, / • concaténation &

Instructions concurrentes Effectuées simultanément et situées hors unités séquentielles (i.e. hors process, fonctions, procédures) when … else … affectation par condition with … select … affectation par sélection for … generate … affectation par itération

Instructions séquentielles Effectuées en séquence et situées dans les unités séquentielles (i.e. hors process, fonctions, procédures) if… elsif…else …end if affectation par condition case… end case … affectation par sélection for… loop…end loop … affectation par itération

Page 63: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 61

Types et sous-types Types pré-définis utilisés en synthèse

Boolean (true ou false), Integer (32 bits) Types « user defined » utilisés en synthèse

Std_logic et std_logic_vector Types énumérés pour définir des états

type state is (store, read, write); Sous-types (sous-ensembles de types)

subtype octet is std_logic_vector(7 downto 0);

Généricité • paramétrage des largeurs de bus • agrégats • tableaux (objets bidimensionnels

Exemples -- Buffers trois états à sorties interconnectées (MUX) Label1: for i in S’range generate S(i) <= A(i) when c=‘0’ else ‘Z’; S(i) <= B(i) when c=‘1’ else ‘Z’; end generate;

SA

B

C

/C

-- Registre avec entrées reset et enable

library ieee; use ieee.std_logic_1164.all; entity regm is generic (m: integer := 8); port(din : in std_logic_vector(m-1 downto 0); ck, ena, rst : in std_logic; regout: out std_logic_vector(m-1 downto 0)); end regm; architecture archi of regm is begin process(rst, ck) begin if rst ='1' then regout <= (others => '0'); elsif ck'event and ck = '1' then if ena = '1' then regout <= din; end if; end if; end process; end archi;

din regm

clk regoutena rst

Page 64: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 62

VHDL – Simulations logiques

La simulation logique Simulation électrique :

• validation au niveau transistor d’un ASIC • utilisation de modèle électrique (BSIM3, MM11) • précision des résultats de simulation (réalisme) • MAIS : complexité des modèles • temps de simulation élevé

Simulation logique :

• validation au niveau porte d’un ASIC • utilisation de bibliothèques de composants • définition d’un package (IEEE_1164, etc.) • définition des niveaux logiques et des retards • temps de simulation réduit

Modélisation des niveaux logiques

électrique

logique0

1X

• Discrétisation des signaux • États logiques • 0 : état bas • 1 : état haut • transition 0 → 1 (délai !)

e

l=(e)

B1B2

bus• Lecture, écriture sur un bus : • Etat logique avec FORCE • Etat logique FAIBLE

0F 1F XF

0Z 1Z XZ

0F 1F XF0F 1F XF

0Z 1Z XZ0Z 1Z XZ Haute impédance

Forcé

0

1

VCC

X

0

0

1

VCC

X

00

1X

?

0

1X

?

D

H

Q

Q

X

X

D

H

Q

Q

X

X

• Circuit combinatoire • Aspect temporel (non) • Conflit (ex : bascule non

initialisée) • X : état inconnu • Xt : indétermination de

transition

M0

VDD

VDD

(1) (1)A

(0)

M1

M0

VDD

VDD

(1) (1)A

(0)

M1

• Point mémoire : • Force intermédiaire (Soft)

0S 1S XS

0Z 1Z XZ

0F 1F XF

0S 1S XS0S 1S XS

0Z 1Z XZ0Z 1Z XZ

0F 1F XF0F 1F XF

Force croissante

5 états logiques de base (valeur logique + force) • 1 : niveau logique 1 fort • H : niveau logique 1 faible • Z : niveau haute impédance • L : niveau logique 0 faible • 0 : niveau logique 0 fort

Règle de combinaison (conflit sur un nœud) 1 + 0 = X1 + L = 11 + H = 11 + Z = 10 + H = 00 + L = 00 + Z = 0H + Z = HL + Z = LH + L = W

Ambiguïté

Ambiguïté Ambiguïté sur les niveaux logiques 1

H

Z

L

0

1

H

Z

L

0

P

R

F

N B

T

WU

DX

Val. par défaut affectée par param TECHNOLOGY Technologie Haut BasTTL STRONG STRONGTTL Col ouvert HIGHIP STRONGECL STRONG WEAKNMOS WEAK STRONGCMOS STRONG STRONG

Combinaisons utilisées par les simulateurs X 1 0 U D P N T B W H L R F Z

X X X X X X X X X X X X X X X X1 X 1 X X X 1 X 1 X 1 1 1 1 1 10 X X 0 X 0 X 0 X 0 0 0 0 0 0 0U X X X U X U X U X U U U U U UD X X 0 X D X D X D D D D D D D

P X 1 X U X P X P X U P U P U PN X X 0 X D X N X N D D N D N NT X 1 X U X P X T X U P U T U TB X X 0 X D X N X B D D N D B BW X 1 0 U D U D U D W W W W W W

H X 1 0 U D P D P D W H W H W HL X 1 0 U D U N U N W W L W L LR X 1 0 U D P D T D W H W R W RF X 1 0 U D U N U B W W L W F FZ X 1 0 U D P N T B W H L R F Z

Modélisation logico-temporelle

Elem.combinatoiresans retard

Retard

Dépendance• Intrinsèque• ZE des élém. en aval

Elem.combinatoiresans retard

Retard

Dépendance• Intrinsèque• ZE des élém. en aval t d tm

0 1

Electr.

Logic

IN

OUT

t d tm

0 1

Electr.

Logic

IN

OUT

• Tps de propag. à travers les portes ou élem. logic • Modèle temporel (techno, fanin, fanout, load) • Selon la techno (tm : trans. 0 → 1, td : trans. 1 → 0) • Retard pur (transport) • Retard inertiel

Page 65: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 63

Retard pur Retard inertiel

e

s

t

t

tm td

• retard : valeur

const. spécifiée • ni

déformation ni filtrage

e

s

t

t

tm td

logic

T

s

t

seuilelect

T’

logic

• Modélisation ϕsique • Filtrage possible de

l’impulsion • td > tm : dilatation du

signal • td < tm : compression du

signal

Retard ambigu

dd

d< SH : filtrageSH < d < XSH : impulsion ambiguë

d

d< SL : filtrageSL < d < XSL : impulsion ambiguë

Elem.combinatoiresans retard

tmintmax

es

Spécification des paramètres de filtrage SHIGH_REJECT -> SHSLOW_REJECT -> SLXSHIGH_REJECT -> XSHXSLOW_REJECT -> XSL

tmtd

s

PorteFanout

tm’= tm + pm* CL

tmtd

s

PorteFanout

tm’= tm + pm* CL

• Prise en compte de l’effet de charge

• Formule de correction (tm’)

• Prise en compte fonction d’entrée

Package Contient des objets VHDL qui peuvent être partagés (utilisés) par différentes unités de design : • déclaration de (sous) type • constantes • sous-programmes • composants Contenu mis à disposition sous clause • Détail de l’implémentation peuvent être

caché dans le corps du package

Corps du package • visible uniquement de l’intérieur du

package • toujours associé à un package • peut contenir tout déclaration/définition

légale pour un package • maintient les définitions des constants et

sous-programmes déclarées auparavant.

Exemple de package Visibilité du package package PKG is type T1 is ... type T2 is ... constant C : integer; procedure P1 (...); end PKG; package body PKG is type T3 is ... C := 17; procedure P1 (...) is . . . end P1; procedure P2 (...) is . . . end P2; end PKG;

library STD; -- VHDL default library WORK; -- VHDL default use STD.standard.all; -- VHDL default use work.PKG.all; entity EXAMPLE is end EXAMPLE; architecture BEH of EXAMPLE is signal S1 : T1; signal S2 : T2; signal S3 : T3; -- error: T3 not declared begin P1 (...); P2 (...); -- error: P2 not declared end BEH;

Architecture

Entity

Entity A

Architecture

Entity

Entity B

Package body

Package Header

Package P

library psUse ps.p.allEntity A is

Library: project_a Library: ps

Package P isconstant C : integer := 200;

end P;

Page 66: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 64

Alphabet Grec

Lettre Minuscule Majuscule Lettre Minuscule Majuscule alpha α Α omega ω Ω beta β Β omicron ο Ο chi χ Χ phi φ Φ delta δ ∆ pi π Π epsilon ε Ε psi ψ Ψ eta η Η rho ρ Ρ gamma γ Γ sigma σ Σ iota ι Ι tau τ Τ kappa κ Κ theta θ Θ lambda λ Λ upsilon υ Υ mu µ Μ xi ξ Ξ nu ν Ν zeta ζ Ζ

Page 67: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 65

Coordonnées

Coordonnées cartésiennes de M : x, y, z

xy

z

M

ir

kr

jrO

ur

K

θx

θz

θy

Hx

y

z

M

ir

kr

jrO

ur

K

θx

θz

θy

H

Oxyz est un trièdre orthonormé direct : la plus petite rotation qui amène Ox sur Oy se fait dans le sens trigonométrique direct autour de Oz :

kzjyixOMrrr

++= si OM fait avec les axes, les angles θx, θy, θz, les cosinus directeurs de OM sont ( )xcos θ=α , ( )ycos θ=β , ( )zcos θ=γ . Ce sont les composantes du vecteur unitaire ur .

1222 =γ+β+α

Coordonnées cylindriques de M : ρ, θ, z

x

y

z

M

krO

K

ρ

r

ϕH n

r

mr

x

y

z

M

krO

K

ρ

r

ϕH n

r

mr

0≥ρ , π≤ϕ≤ 20 , +∞<<∞− z Relations avec les coordonnées cartésiennes :

( )ϕρ= cosx ( )ϕρ= siny

zz =

Coordonnées sphériques de M : r, θ, ϕ

x

y

z

Mwr

vr

O

urK

θ

ϕ

r

Hwr

x

y

z

Mwr

vr

O

urK

θ

ϕ

r

Hwr

0r ≥ , π≤θ≤0 , π≤ϕ≤ 20 Relations avec les coordonnées cartésiennes :

( ) ( )ϕθ= cossinrx ( ) ( )ϕθ= sinsinry ( )θ= cosrz

Page 68: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 66

Constantes

Charge d’un trou q = 1.6×10−19 C

Constante de Boltzmann k = 1.381×10−23 J K−1

Constante de Planck h = 6.626×10−34 J s

Constante de Planck réduite π

=2h

h = 1.054×10−34 J s

Masse de l’électron M0 = 0.911×10−30 Kg

Nombre d’Avogadro NA = 6.022×1023 atomes Mole−1

Permittivité de l’oxyde de silicium εox = 3.9 ε0

Permittivité du silicium εSi = 11.9 ε0

Permittivité du vide ε0 = 8.85×10−12 F m−1

Perméabilité du vide µ0 = 4 π 10−7 = 12.56×10−7 N.A−2

Vitesse de la lumière dans le vide c0 = 2.99×108 m s−1

Page 69: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 67

Décomposition d’une fraction rationnelle en p en éléments simples

Soit D(p)N(p)Y(p) = où N(p) et D(p) sont des polynomes en p.

Si les racines de 0D(p) = sont simples : Lc)b)(pa)(pK(pD(p) −−−= où K est le coefficient

de la plus forte puissance de p dans D(p), on écrit :

L+−

+−

+−

=cp

Cbp

Bap

AKY(p) avec [ ] apa)Y(p)K(pA =−= ,

[ ] bpb)Y(p)K(pB =−= , [ ] cpc)Y(p)K(pC =−= , etc.

Si 0D(p) = a une racine multiple d’ordre de multiplicité n : Lc)b)(p(pa)K(pD(p) n −−−= ,

on écrit : LL +−

+−

+−

++−

+−

=cp

Cbp

B

a)(p

A

a)(p

Aap

AKY(p)

nn

221 avec :

[ ] ap

nn Y(p)a)K(pA =−= ,

[ ]ap

ni

iin Y(p)a)K(p

dp

di!1A

=−

⎥⎥⎦

⎢⎢⎣

⎡−= ,

[ ] bpb)Y(p)K(pB =−= , [ ] cpc)Y(p)K(pC =−= , etc.

Page 70: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 68

Déplacement, longueur et volume élémentaires

Le déplacement élémentaire est : 'MMdl =

Coordonnées cartésiennes de M : x, y, z

x

y

z

M

O

KM ’

dy

dz

dx

x

y

z

M

O

KM ’

dy

dz

dx

kdzjdyidxdlrrr

++= 2222 dzdydxdl ++=

dxdydzdv =

Coordonnées cylindriques de M : ρ, θ, z

rr

x

y

z

M

O

K M ’

dxdρ

dz

θ dθ

dz

ρdθ

rr

x

y

z

M

O

K M ’

dxdρ

dz

θ dθ

dz

ρdθ

kdzmdnddlrrr

+θρ+ρ=

( ) 2222 dzdddl +θρ+ρ= dzdddv θρρ=

Coordonnées sphériques de M : r, θ, ϕ

x

y

z

M

O

M ’

ϕ

θdθ

r sin(θ) dϕ

r

r sin(θ)dϕ

x

y

z

M

O

M ’

ϕ

θdθ

r sin(θ) dϕ

r

r sin(θ)dϕ

( ) wdsinrvrdudrdl rrrϕθ+θ+=

( ) ( )( )2222 dsinrrddrdl ϕθ+θ+=

( ) ϕθθ= ddrdsinrdv 2

Page 71: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 69

Divergence et rotationnel d’un champ vectoriel

Divergence : définition et propriétés Considérons un champ vectoriel )M(V

r. La divergence de ce champ vectoriel est un champ scalaire noté

V divr

dont les expressions dans les systèmes de coordonnées cartésiennes, cylindriques et sphériques sont les suivantes : En coordonnées cartésiennes )V;V;V(V zyx

r

zV

yV

xV=V div zyx

∂∂

+∂

∂+

∂∂r

En coordonnées cylindriques )V;V;V(V zϕρr

z

VV1)V(1=V div z∂

∂+

∂ϕ

ρ+

∂ρ

ρ∂

ρϕρr

En coordonnées sphériques )V;V;V(V r ϕθr

∂ϕ

θ+

∂θθ∂

θ+

∂∂ ϕθ V

sinr1)V(sin

sinr1

r)Vr(

r1=V div r

2

2

r

Quelques propriétés de l’opérateur divergence )B(div)A(div)BA(divrrrr

+=+ ( ) ( )Adiv fA.fgrad)Af(divrrr

+=

Rotationnel : définition et propriétés Considérons un champ vectoriel )M(V

r. Le rotationnel de ce champ vectoriel est un champ vectoriel noté

Vrotr

dont les expressions dans les systèmes de coordonnées cartésiennes, cylindriques et sphériques sont les suivantes : En coordonnées cartésiennes

)V;V;V(V zyxr

z

xyy

zxx

yz ey

Vx

Ve

xV

zV

ez

V

yV

Vrotrrrr

⎟⎟⎠

⎞⎜⎜⎝

∂∂

−∂

∂+⎟

⎞⎜⎝

⎛∂

∂−

∂∂

+⎟⎟⎠

⎞⎜⎜⎝

∂−

∂∂

=

En coordonnées cylindriques

)V;V;V(V zϕρr

z

zz eV)V(1e

Vz

Ve

zVV1Vrot

rrrr

⎟⎟⎠

⎞⎜⎜⎝

∂ϕ

∂−

∂ρ

ρ∂

ρ+⎟

⎟⎠

⎞⎜⎜⎝

∂ρ∂

−∂

∂+⎟

⎟⎠

⎞⎜⎜⎝

∂−

∂ϕ∂

ρ= ρϕ

ϕρ

ρϕ

En coordonnées sphériques

)V;V;V(V r ϕθr

ϕ

θθ

ϕθϕ⎟⎠

⎞⎜⎝

⎛∂θ

∂−

∂∂

+⎟⎟⎠

⎞⎜⎜⎝

∂−

∂ϕ∂

θ+⎟

⎟⎠

⎞⎜⎜⎝

∂ϕ∂

−∂θ

θ∂

θ= e

Vr

)rV(r1e

r)rV(V

sin1

r1e

V)V(sinsinr1Vrot rr

rrrrr

Page 72: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 70

Fonctions trigonométriques

Valeurs particulières

x 0 6π

sin x 0 21

22

23 1

cos x 1 23

22 2

1 0

tan x 0 33 1 3 ∞

1

1

sin(

x)

cos(x)

x tan(

x)

0 1

1

sin(

x)

cos(x)

x tan(

x)

0

Relations

( ) ( )( )xcosxsinxtan = ( ) ( ) 1xsinxcos 22 =+

-x

x−π x2

−π

2x π

+

π+ nx

sin -sin(x) sin(x) cos(x) cos(x) (-1)n sin(x)

( )( )xtan1

xcos

1 22

+= ( ) ( )xtan

11xsin

122

+=

-x

x−π x2

−π

2x π

+

π+ nx

Formules d’additions ( ) ( ) ( ) ( )acosbsinbcosasin)basin( +=+ ( ) ( ) ( ) ( )bsinasinbcosacos)bacos( −=+ ( ) ( )

( ) ( )btanatan1btanatan)batan(

−+

=+

( ) ( ) ( ) ( )acosbsinbcosasin)basin( −=− ( ) ( ) ( ) ( )bsinasinbcosacos)bacos( +=− ( ) ( )( ) ( )btanatan1

btanatan)batan(+

−=−

Relations avec l’arc double ( ) ( ) ( )acosasin2a2sin = ( ) ( ) ( ) ( ) ( )asin211acos2asinacosa2cos 2222 −=−=−= ( ) ( )[ ]a2cos1

21asin 2 −=

( ) ( )[ ]a2cos121acos2 += ( ) ( )

( )atan1

atan2a2sin2+

= ( ) ( )( )atan1

atan12acos 2

2

+

−= ( ) ( )

( )

atan1

atan2a2tan2−

=

Transformation de produits en sommes

( ) ( ) ⎟⎠⎞

⎜⎝⎛ −

⎟⎠⎞

⎜⎝⎛ +

=+2

qpcos2

qpsin2qsinpsin ( ) ( ) ⎟⎠⎞

⎜⎝⎛ −

⎟⎠⎞

⎜⎝⎛ +

=+2

qpcos2

qpcos2qcospcos

( ) ( ) ⎟⎠⎞

⎜⎝⎛ +

⎟⎠⎞

⎜⎝⎛ −

=−2

qpcos2

qpsin2qsinpsin ( ) ( ) ⎟⎠⎞

⎜⎝⎛ +

⎟⎠⎞

⎜⎝⎛ −

−=−2

qpsin2

qpsin2qcospcos

Page 73: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 71

Gradient d’un champ scalaire

Définition Quelles que soient les coordonnées et le repère utilisés pour décrire le système physique auquel on s’intéresse, on définit le gradient au point M0 du champ scalaire f par :

OMd).f grad(df = où df est la différentielle en ce même point M0 de la fonction qui décrit le champ scalaire, et OMd est la différentielle du champ vectoriel position, ou encore le déplacement élémentaire )ldOMd(

r≡ .

Expression de l’opérateur En coordonnées cartésiennes M(x,y,z)

zyx ezfe

yfe

xf=f grad

rrr

∂∂

+∂∂

+∂∂

En coordonnées cylindriques M z( , , )ρ ϕ ze

zfef1ef=f gradrrr

∂∂

+∂ϕ∂

ρ+

∂ρ∂

ϕρ

En coordonnées sphériques M r( , , )θ ϕ ϕθ ∂ϕ

∂θ

+∂θ∂

+∂∂ ef

sinr1ef

r1e

rf=f grad r

rrr

Page 74: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 72

Préfixes

deci d 10−1 deka da 101

centi c 10−2 hecto h 102 milli m 10−3 kilo k 103 micro µ 10−6 mega M 106 nano n 10−9 giga G 109 pico p 10−12 tera T 1012 femto f 10−15 peta P 1015 atto a 10−18 exa X 1018

Page 75: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 73

Primitives particulières de quelques fonctions courantes

Fonctions Primitives Fonctions Primitives

1m,x m −≠ 1m

x 1m

+

+ x

1 xln

( )xcos ( )xsin ( )xsin ( )xcos−

xe xe 0a,a x > ( )aln

a x

( )xch ( )xsh ( )xsh ( )xch

( )xcos

12

xtan

( )xsin

12

( )xancot−

( )xch

12

( )xth

( )xsh

12

( )xcoth−

( )xsin1 ⎟

⎠⎞

⎜⎝⎛

2xtanln ( )xcos

1 ⎟⎠⎞

⎜⎝⎛ π

+42

xtanln

2x11

x1x1ln

21

−+ 2x1

1+

( )xarctan

2x1

1

− ( )xarcsin

2x1

1

+ ( )xsharg

1x

12 −

xcharg)x(signe

m est un paramètre réel

Page 76: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 74

Table des transformées de Laplace et transformées en Z

y(t) Y(p) Y(Z)

1 p1

1ZZ−

t 2p

1 21)(ZTZ−

2t 3p

2 3

2

1)(Z

1)Z(ZT

+

ate−

a réel ou complexe ap

1+

aTeZ

Z−−

atte−

a réel ou complexe 2a)(p

1

+

2aT)

aT

e(Z

TZe

at2et −

a réel ou complexe 3a)(p

2

+

3aT

aTaT2

)e(Z

)e(ZZeT−

−−

+

t)cos(ω

ω réel 22 ωp

p

+

1T)2Zcos(ωZ

T))cos(ωZ(Z2 +−

t)sin(ω

ω réel 22 ωp

ω

+

1T)2Zcos(ωZ

T)Zsin(ω2 +−

t)cos(ωe at−

a réel ou complexe, ω réel 22 ωa)(p

ap

++

+ aT2aT-2

aT

eT)cos(ω2ZeZ

T))cos(ωeZ(Z−

+−

t)sin(ωe at−

a réel ou complexe, ω réel 22 ωa)(p

ω

++

aT2aT-2

-aT

eT)cos(ω2ZeZ

T)sin(ωZe−+−

t)ch(a

a réel 22 ap

p

1T)2Zch(aZ

T))ch(aZ(Z2 +−

t)sh(a

a réel 22 ap

a

1T)2Zch(aZ

T)Zsh(a2 +−

Page 77: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 75

Tableau périodique des éléments

H Li

Na K Rb Cs

Fr

Be

Mg

Ca Sr Ba

Ra

Sc Y La*

Ac**

Ti

Zr Hf

Unq

V Nb

Ta

Unp

Cr

Mo

W Uns

Mn

Tc

Re

Fe Ru

Os

Co

Rh Ir

Ni

Pd Pt

Cu

Ag

Au

Zn Cd

Hg

B Al

Ga In Ti

C Si Ge Sn

Ce

Th

Pa

Nd U

Pm Np

Sm Pu

Eu

Am

Gd

Cm

Tb

Pr

Bk

Dy Cf

Ho

Es

Er

Fm

Pb

N P As

Sb Bi

O S Se Te

Po

F Cl

Br I At

He

Ne

Ar

Kr

Xe

Rn

Tm

Md

Yb

No

Lu Lr

12

34

56

78

910

1112

1314

1516

1718

1920

2122

2324

2526

2728

2930

3132

3334

3536

3738

3940

4142

4344

4546

4748

4950

5152

5354

5556

57

5859

6061

6263

6465

6667

6869

7071

7273

7475

7677

7879

8081

8283

8485

86

8788

89

9091

9293

9495

9697

9899

101

102

103

104

105

106

110

IA

IIA

IIIA

IVA

VA

VIA

VII

AV

IIIA

IBV

IIIA

VII

IAII

B

IIIB

IVB

VB

VIB

VII

B

VII

IB

* L

anth

anid

es

** A

ctin

ides

Mét

aux

Non

-Mét

aux

H Li

Na K Rb Cs

Fr

Be

Mg

Ca Sr Ba

Ra

Sc Y La*

Ac**

Ti

Zr Hf

Unq

V Nb

Ta

Unp

Cr

Mo

W Uns

Mn

Tc

Re

Fe Ru

Os

Co

Rh Ir

Ni

Pd Pt

Cu

Ag

Au

Zn Cd

Hg

B Al

Ga In Ti

C Si Ge Sn

Ce

Th

Pa

Nd U

Pm Np

Sm Pu

Eu

Am

Gd

Cm

Tb

Pr

Bk

Dy Cf

Ho

Es

Er

Fm

Pb

N P As

Sb Bi

O S Se Te

Po

F Cl

Br I At

He

Ne

Ar

Kr

Xe

Rn

Tm

Md

Yb

No

Lu Lr

H Li

Na K Rb Cs

Fr

Be

Mg

Ca Sr Ba

Ra

Sc Y La*

Ac**

Ti

Zr Hf

Unq

V Nb

Ta

Unp

Cr

Mo

W Uns

Mn

Tc

Re

Fe Ru

Os

Co

Rh Ir

Ni

Pd Pt

Cu

Ag

Au

Zn Cd

Hg

B Al

Ga In Ti

C Si Ge Sn

Ce

Th

Pa

Nd U

Pm Np

Sm Pu

Eu

Am

Gd

Cm

Tb

Pr

Bk

Dy Cf

Ho

Es

Er

Fm

Pb

N P As

Sb Bi

O S Se Te

Po

F Cl

Br I At

He

Ne

Ar

Kr

Xe

Rn

Tm

Md

Yb

No

Lu Lr

12

34

56

78

910

1112

1314

1516

1718

1920

2122

2324

2526

2728

2930

3132

3334

3536

3738

3940

4142

4344

4546

4748

4950

5152

5354

5556

57

5859

6061

6263

6465

6667

6869

7071

7273

7475

7677

7879

8081

8283

8485

86

8788

89

9091

9293

9495

9697

9899

101

102

103

104

105

106

110

12

34

56

78

910

1112

1314

1516

1718

1920

2122

2324

2526

2728

2930

3132

3334

3536

3738

3940

4142

4344

4546

4748

4950

5152

5354

5556

57

5859

6061

6263

6465

6667

6869

7071

7273

7475

7677

7879

8081

8283

8485

86

8788

89

9091

9293

9495

9697

9899

101

102

103

104

105

106

110

IA

IIA

IIIA

IVA

VA

VIA

VII

AV

IIIA

IBV

IIIA

VII

IAII

B

IIIB

IVB

VB

VIB

VII

B

VII

IB

* L

anth

anid

es

** A

ctin

ides

Mét

aux

Non

-Mét

aux

Page 78: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 76

Unités de mesures

UNITES SI MULTIPLES SI

ou autres unités usuelles

GRANDEURS Nom Sym-

bole Valeur en unité de base Nom Sym-

bole Valeur en SI

Unités énergétiques

degré Celsius °C 1° = 1 K (yK = 273,15 + x°C)

Température

degré Fahrenheit °F (zK = 273,15 + 5/9 [x°F – 32])

erg erg 10 −7 J calorie (thermo-chimique)

cal 4,1840 J

calorie IT cal 4,1868 J kilocalorie kcal 4184 J wattheure Wh 3600 J kilowattheure kWh 3,6 106 J thermie th 4,18 106 J chevalheure cvh 2,648 106 J British Thermal Unit

BTU 1,055 103 J

électronvolt ev 1,602 10−19 J

Energie (travail ou chaleur)

joule J m2.kg.s −2 (newton mètre)

frigorie 4180 J (enlevés)

Quantité de rayonnement ionisant absorbé par unité de masse

rad 10−2 J.kg−1

cheval-vapeur cv 735,5 W Puissance watt W m2.kg.s−3 (joule par seconde) frigorie par heure 1,161 W

(enlevés) Entropie m2.kg.s−2.K−1

(joule par kelvin) clausius Cl 4,18 J.K−1

Capacité calorifique

m2.kg.s−2.K−1 (joule par kelvin)

Unités optiques

Luminance m−2.cd (candela par mètre carré)

stilb sb 104 cd.m−2

Flux lumineux

lumen lm cd.sr

Eclairement lux lx m−2.cd.sr phot ph 104 lx Vergence des systèmes optiques

dioptrie δ m−1 (vergence d’un système de distance focale de 1 m dans un milieu d’indice de réfraction égale à 1)

Page 79: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 77

UNITES SI MULTIPLES SI ou autres unités usuelles

GRANDEURS

Nom Sym-bole

Valeur en unité de base

Nom Symbole Valeur en SI

Unités géométriques

angström Å (ou A) 10 −10 m micron µ (ou µm) 10 −6 m inch = pouce in 0,0254 m foot = pied ft 0,3048 m yard yd 0,9144 m mille marin 1852 m année lumière al 9,461 10 15 m

Longueur

unité astronomique UA 1,496 10 11 m barn b 10 −28 m2 are a 100 m2

Surface mètre carré

m2 surface d’un carré de 1 m de côté

hectare ha 10000 m2 litre l 10 −3 m3 tonneau de mer 1,44 m3 tonneau de jauge 2,832m3 gallon US gal 3,785 10 −3 m3

Volume mètre cube

m3 volume d’un cube de 1 m d’arête

barril US bbl 0,159 m3 degré d ou ° 0,01745 rad

(360° → 2π rad) minute sexagésimale ’ 2,91 10 −4 rad

(60’ → 1°) seconde sexagésimal ’’ 4,85 10 −6 rad

(60’’ → 1’)

Angle plan radian rad angle au centre interceptant un arc de cercle égal à la longueur du rayon

grade gr 0,0157 rad Angle solide stéradia

n sr angle solide ayant

son sommet au centre d’une sphère et interceptant une aire ayant pour côté le rayon de la sphère

Unités mécaniques

Fréquence hertz Hz curie Ci 3,7 1010 s−1

(désintégration d’un nucléide par seconde)

carat 2 10 −4 Masse

unité de masse atomique

u 1,66053 10 −27 kg (1/12 de la masse du carbone 12)

Accélération m.s −2 (mètre par seconde par seconde) rad.s −2 (radian par seconde par seconde)

gal Gal 10 −2 ms−2

kilogramme-force

kgf 9,80665 N Force newton N m.kg.s −2

dyne dyn 10 −5 N

Page 80: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 78

UNITES SI MULTIPLES SI ou autres unités usuelles

GRANDEURS

Nom Sym-bole

Valeur en unité de base Nom Symbole Valeur en SI

Unités mécaniques (suite)

bar bar 105 Pa kilogramme-force par centimètre carré

kgf.cm−2 0,980665 105 Pa

atmosphère normale

atm. 1,01325 105 Pa

Contrainte et pression

pascal Pa m −1.kg.s −2 (newton par mètre carré)

torr Torr 133,3 Pa (mm Hg) (760 Torr = 1 atm)

Tension superficielle

kg.s −2 (newton par mètre, ou joule par m2)

dyne par cm 10 −3 kg.s −2

Viscosité dynamique

m −1.kg.s −1 (pascal par seconde)

poise Po 10 −1 Pl

Viscosité cinématique

poiseuille Pl

m2.s −1 stokes St 10 −4 m2.s −1

Unités électriques

Quantité d’électricité

coulomb C s.A

Tension ou différence de potentiel

volt V m2.kg.s−3.A−1 (watt par ampère ou joule par coulomb)

Capacité électrique

farad F m−2.kg−1.s4.A2 (coulomb par volt)

Résistance électrique

ohm Ω m2.kg.s−3.A−2 (volt par ampère)

Conductance siemens S m−2.kg−1.s3.A2 (ampère par volt ou Ω−1)

mho 1S

Champ électrique

m.kg.s−3.A−1 (volt par mètre)

Déplacement électrique

m−2.s.A (coulomb par m2)

Permittivité

m−3.kg−1.s4.A2

Champ magnétique

m−1.A (ampère par mètre)

oersted Oe 79,58 A.m−1

Induction magnétique

tesla T kg.s−2.A−1 (wéber par m2)

Flux d’induction magnétique

wéber Wb m2.kg.s−2.A−1 (voltseconde)

maxwell Mx 10−8 Wb

Inductance henry H m2.kg.s−2.A−2 (wéber par ampère)

Perméabilité magnétique

m.kg.s−2.A−2 (henry par mètre)

Page 81: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 79

Notes

Page 82: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 80

Notes

Page 83: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 81

Notes

Page 84: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 82

Notes

Page 85: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 83

Notes

Page 86: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 84

Notes

Page 87: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 85

Notes

Page 88: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 86

Notes

Page 89: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 87

Notes

Page 90: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 88

Notes

Page 91: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1
Page 92: Le Mémo de Polytech’Marseilleusers.polytech.unice.fr/~pmasson/Enseignement/Memo Polytech MT... · Le Mémo de Polytech’Marseille, Micro-électronique & Télécommunications 1

Les enseignants suivants ont participé à l’écriture de ce document :

Richard BASSET Rachid BOUCHAKOUR Pierre CANET Jean-Claude CATHALA Damien DELERUYELLE Jean GAUBERT Romain LAFFONT Amélie LITMAN Gérard MANGIANTE Pascal MASSON Philippe PANNIER Annie PEREZ Jean-Michel PORTAL Wenceslas RAHAJANDRAIBE Lakhdar ZAID

Ecole Polytechnique Universitaire de Marseille, Dépt. Micro-électronique et Télécommunications IMT - Technopôle de Château-Gombert 13451 MARSEILLE Cedex 20 Tél : (33) 4 91 05 45 28 Fax : (33) 4 91 05 45 29 e-mail : [email protected]

web : www.polytech-marseille.com