etude et réalisation d’un capteur cmos en technologie ams...

40
Mémoire de DEA III Rétine CMOS J. Dubois 1 LE2I, UMR CNRS 5158 Université de Bourgogne Mémoire de DEA III Instrumentation et Informatique de l’Image Etude et réalisation d’un capteur CMOS en Technologie AMS 0.35 µm Année 2005 par Jérôme DUBOIS Encadrants : Dominique GINHAC Michel PAINDAVOINE Période : Mars à Juin 2005 Lieu : Laboratoire LE2I Université de Bourgogne Faculté Mirande 21000 DIJON France

Upload: others

Post on 23-Feb-2020

0 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

1

LE2I, UMR CNRS 5158 Université de Bourgogne

Mémoire de DEA III

Instrumentation et Informatique de l’Image

Etude et réalisation d’un capteur CMOS en

Technologie AMS 0.35 µm

Année 2005

par Jérôme DUBOIS

Encadrants : Dominique GINHAC Michel PAINDAVOINE Période : Mars à Juin 2005 Lieu : Laboratoire LE2I Université de Bourgogne

Faculté Mirande 21000 DIJON France

Page 2: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

2

Table des matières 1 Introduction, état de l’art 3 2 Le Projet Vision 5 2.1 Les vocations du dispositif 5

2.2 Description des tâches 6 2.3 Quelques rappels d’optique 8

2.3.1 Aspect théorique des lentilles minces convergentes 8 2.3.2 Autofocus actif 9 2.3.3 Autofocus passif 10 2.3.4 Mise au point sur l’hyperfocale 10 2.3.5 Angle de champ 10 2.3.6 Le diaphragme 11

3 La rétine CMOS 12

3.1 Rappels sur la technologie CMOS 12

3.1.1 Modèle simplifié du transistor MOS pour la conception de fonctions analogiques 12 3.1.2 Le miroir de courant 14

3.1.2.1 Miroirs effectuant une addition 14 3.1.2.2 Miroirs effectuant une soustraction 15 3.1.2.3 Miroirs effectuant une valeur absolue 15

3.1.3 La paire différentielle CMOS 16 3.1.4 La photodiode 20 3.1.5 La cellule mémoire DRAM 3T 20 3.1.6 La cellule mémoire SRAM 6T 21 3.1.7 Le registre à décalage 22

3.2 Quelques traitements envisageables 23

3.2.1 Mesure du gradient de Roberts 24 3.2.2 Masque de Sobel 24 3.2.3 Détecteur du deuxième ordre : Laplacien. 26 3.2.4 Seuillage par hystérésis : Binarisation 26

4 Application à la caméra rapide 28 4.1 Le photo détecteur 28 4.2 Le site de traitement 31 4.3 La rétine dédiée à l’imagerie rapide 35 5 Conclusion et perspectives 36 6 Bibliographie 40

Page 3: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

3

Chapitre 1 Introduction, état de l’art

Depuis leur développement au début des années 1970, les capteurs d’images CCD (Charge

Coupled Devices) ont connu un large succès dans de nombreux secteurs scientifiques et techniques. Dans les années 80, une nouvelle famille de capteurs d’images arrive sur le marché : les capteurs CMOS [1] (Complementary Metal Oxyde Semiconductor). Du fait des progrès technologiques importants de l’industrie des semi conducteurs, de tels capteurs atteignent aujourd’hui des performances équivalentes à celles des capteurs CCD, jusqu’à rivaliser dans des domaines applicatifs où le CCD régnait en maître jusqu’à présent.

Le développement des technologies sub-microniques a permis un regain d'intérêt pour les capteurs d'images CMOS, qui inondent aujourd'hui le marché des capteurs. Les capteurs CMOS ont des avantages déterminants : coût très favorable, résolution potentiellement très élevée, accès aléatoire aux pixels et surtout intégration possible de traitements d’images au sein même de la puce au niveau des pixels [2]. Ces capteurs "intelligents", irréalisables avec la technologie CCD, sont nommés "rétines artificielles". Ainsi, une rétine CMOS peut être vue comme une grille bidimensionnelle de "cellules" [3], chaque cellule étant formée de l'association d'un élément photosensible (photorécepteur), et d'un élément de calcul (processeur élémentaire). Du fait du caractère massivement parallèle, une rétine peut avoir des performances en vitesse, en intégration et en consommation meilleures que les solutions classiques (capteurs puis traitements logiciels et/ou matériels) [4].

Les approches conventionnelles pour la conception de machines de vision sont en général basées

sur des architectures connectées à une caméra. L'approche proposée dans ce travail consiste à associer, dans un même circuit – une rétine CMOS -, les photocapteurs et des fonctions de pré-traitement de l'image, permettant ainsi de répartir et d'optimiser le traitement afin de trouver une adéquation entre l’algorithme et l’architecture.

Depuis de nombreuses années, le laboratoire LE2I de l'Université de Bourgogne s'est intéressé à

la mise en oeuvre de systèmes électroniques et informatiques dédiés au traitement du signal et de l’image et plus particulièrement à la conception de capteurs d’images CMOS dédiés à la vision artificielle. On peut citer par exemple la rétine d’intercorrélation [5], la rétine de vidéo rapide dédiée à l’acquisition de phénomènes lumineux rapides [6], la rétine dédiée à la localisation et à la reconnaissance de visages [7].

En somme, les rétines artificielles électroniques sont des circuits intégrés qui associent intimement un capteur d’image et une architecture parallèle de manière à constituer un système de vision compact qui évite les transferts de l’un vers l’autre. Le qualificatif de "capteur intelligent" peut être attribué aux rétines artificielles du fait de leurs capacités de traitement des informations

Page 4: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

4

produites par le capteur d’image. Ces capacités de traitement sont limitées par la faible quantité des ressources matérielles qui sont attribuées à chaque processeur de l’architecture parallèle.

De nombreuses structures de pixel APS ont été développées dans le but d’augmenter les

performances du capteur d’image. Certaines de ces structures apportent une réduction des différentes sources de bruit comme le bruit spatial fixe (BSF) ou le bruit thermique de lecture. Ce premier est dû principalement aux non-uniformités de certains paramètres des transistors MOS utilisés : Tensions de seuil, courants de drain, transconductances de grille, etc.… La différence de tensions de seuil est la source de bruit fixe dominante [8]. En général, plus la distance entre deux transistors est grande, plus la tension de seuil des deux transistors considérés peut être différente. Typiquement, on peut avoir sur la même puce des variations de l’ordre d’une dizaine de millivolts [9]. La structure même de notre rétine permet de réduire considérablement ce bruit pixélaire.

L’idée sous jacente est qu’avant même de faire des traitements sur l’image, faut-il encore s’assurer que l’image en question soit de très bonne qualité notamment en terme de rapport signal sur bruit (RSB) que l’on doit rendre aussi élevé que possible. Et puis au delà de ces considérations, il faut aussi penser à ce que l’on va placer autour de la rétine pour pouvoir valider expérimentalement des principes fondamentaux. Ainsi nous avons imaginé une nouvelle génération de rétine intégrée dans un système global (Chapitre 2) qui prenne compte des différents problèmes d’optiques et de communications avec l’extérieur, l’interface utilisateur. Nous ne voulons pas simplement développer une rétine seule, mais une rétine plongée dans un système qui, hormis la partie mécanique (optique), pourra, après validation expérimentale, être intégré sur un même circuit (VSOC Vision System On Chip). Ainsi nous restons fidèle à notre principe d’Adéquation Algorithme Architecture qui cherche un compromis entre l’architecture du système (fixe) et la flexibilité logiciel (programmable).

Nous pouvons imaginer intégrer des traitements spécifiques comme l’extraction de contours ou des traitements non linéaire à base d’équations aux dérivées partielles (EDP). La première originalité de ce travail est de ne pas figer dans le silicium des traitements dédiés à une application, afin de garder une souplesse et une polyvalence maximale de la rétine créée. Cependant, la petite capacité des éléments de calcul constitue un frein réel pour réussir efficacement l’intégration d’algorithmes multiples au sein du même capteur.

Ce mémoire de DEA présente le système vision (chapitre 2), la rétine CMOS, les traitements parallèles envisageables (Chapitre 3) et le dessin de masque (Lay-out) d’une rétine visant à répondre au cahier des charges d’une nouvelle caméra rapide (résolution : 1024x1024) au débit exceptionnelle de 10 Gpixel/s (Chapitre 4).

Voici donc un aperçu des différentes idées qui sont développées dans ce mémoire. Ces nouveaux concepts ouvrent des perspectives très intéressantes dans le domaine de l’imagerie rapide et du traitement d’image.

Page 5: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

5

Chapitre 2 Le Projet Vision 2.1 Les vocations du dispositif

Cette réalisation a pour objectif de valider de nouveaux concepts fondamentaux en terme d’Adéquation Algorithme Architecture entre le capteur d’image (la rétine CMOS), la partie optique, et l’électronique de contrôle.

Le premier problème à palier est un problème d’optique. Avant de s’intéresser à l’image d’une

scène, il faut que l’objet ou le groupe d’objets prédominant de la scène soit convenablement projeté sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela, nous utilisons un objectif motorisé (Figure 1.b) sur lequel nous pouvons agir sur trois paramètres de manière indépendante : Le grandissement, la distance focale et le paramètre d’ouverture. Ces trois paramètres seront contrôlés, à l’extérieur de la rétine, par le microcontrôleur n°2 (Figure 1.b). Le contrôle de ces paramètres réalise la fonction de mise au point automatique à un grandissement donné (anglais : autofocus) de manière plus précise que les procédés actuels puisque ce contrôle est réalisé à partir d’une analyse sur une fenêtre d’intérêt de la scène par le microcontrôleur n°1 des informations émanant de la rétine.

Dans le cas des scènes où les objets prédominants sont relativement proches, à contrario des

scènes de paysages, on recourt à l’utilisation d’un télémètre à infrarouge ou à ultrason. Pour le système Polaroïd, on peut faire des mesures de 15cm à 11m avec une précision annoncée de 1%. Avec notre système, on est dans l’espoir de faire mieux. Ainsi dans des espaces confinés, une mesure de distance Obstacle Objectif contrôlera le deuxième paramètre de notre objectif, à savoir, la distance focale, pour la mise au point.

Dans notre système, nous avons prévu l’utilisation d’un troisième microcontrôleur qui

communique avec notre rétine afin de contrôler des paramètres spécifiques comme le temps d’intégration ou d’éventuels paramètres de traitements. Nous prévoyons aussi des interfaces utilisateurs comme l’Afficheur graphique.

Tous cela constitue un système de vision artificielle qui nous permettra de développer des

stratégies algorithmiques et de mieux concevoir le dessin de masque de la rétine CMOS. Reste à développer les concepts imaginés autour de la rétine et plus précisément autour du pixel, cellule élémentaire de notre rétine.

Page 6: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

6

Fig. 1 - Synoptique du système Vision (a), Objectif motorisé (b) 2.2 Description des tâches

Dans le système Vision, chaque microcontrôleur a un rôle particulier. Muni d’un processeur élémentaire et de périphériques, un microcontrôleur peut aisément être implanté dans un FPGA (Field Programmable Gate Arrays). Il est donc tout à fait envisageable d’intégrer tous ce qui va être décrit sur un même circuit.

Microcontrôleur 1 : µC1

Le µC1, microcontrôleur principal, adresse la rétine CMOS et recueille les données image sous trois formats possibles 8 bits, 4 bits ou 1 bit. La conversion Analogique Numérique est réalisée à l’aide d’un comparateur implanté en dur au sein de la rétine et d’un protocole de communication avec le microcontrôleur principal dont un des ports 8 bits est connecté à un réseau R/2R (Figure 2). Le réseau R/2R est un convertisseur Numérique Analogique qui fournit en sortie une valeur analogique à comparer avec le potentiel du pixel adressé. Il existe deux stratégies de commande. La première, et la plus classique, consiste en la réalisation d’un convertisseur simple rampe. Il s’agit d’envoyer dans l’ordre une séquence binaire sur 8 bits en commençant par la valeur 0x00 qui correspond à Vcomp=0V. Dès que le potentiel Vcomp atteint le potentiel du pixel considéré la sortie du comparateur interne à la rétine change d’état (bit stop) et alors la séquence est arrêtée. La valeur binaire du port du microcontrôleur, ainsi stabilisée, est alors la valeur convertie.

(a) (b)

Fig. 2 – Conversion A/N

Mais cette stratégie a pour principal défaut le temps de conversion, trop dépendant de la valeur convertie et relativement très important. Une autre stratégie utilise le principe de dichotomie. Plutôt que d’envoyer une séquence linéaire, il serait

Page 7: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

7

plus avantageux de procédé par dichotomie. Le protocole est alors plus intelligent. La première valeur à comparer correspond à la moitié de l’alimentation (Vdd/2), puis, en fonction de l’état du bit stop, la nouvelle valeur à comparer est égale à la précédente ajoutée ou retranchée du quart de l’alimentation (Vdd/4), soit la moitié de Vdd/2, et ainsi de suite (Figure 3).

L’avantage de ce type de convertisseur est qu’il est configurable en résolution et beaucoup plus rapide que le convertisseur simple rampe. En effet, le temps de conversion est donné par la relation (1), en considérant Tcy, le temps de cycle machine du microcontrôleur principal et Nb, la résolution du convertisseur. Tconv=Nb.Tcy , 0ÂNbÂ8 (1) Dans un FPGA, on peut atteindre sans conteste une fréquence cycle de l’ordre de la centaine de mégahertz, soit un temps de conversion au plus de 80 nanosecondes. Ainsi une trame peut être convertie en pleine résolution en 328 microsecondes. Pour réaliser une conversion de la trame complète en 100 microsecondes afin d’atteindre la cadence imposée par le cahier des charges de la caméra rapide (Chapitre 4), il faut que le fréquence cycle du microprocesseur, ou de l’automate à état décrit en VHDL, soit de 328 mégahertz.

Le format 4 bits sert à réaliser une analyse rapide de l’image fenêtrée, centrée sur la scène observée, de résolution 32x32, 4bits, en vue de calculer le contraste de la scène sur l’axe optique. Le contraste d’une image est donné par la relation (2).

C= Emax­Em in

Emax+Emi n (2)

Avec : Emax : Eclairement maximum

Emin : Eclairement minimum Exemple : Pour une image binaire, le contraste est maximum et vaut 1.

Cette analyse constitue le paramètre de contrôle de l’autofocus passif, la focale est positionnée de manière à maximiser le contraste. Le reste du temps, ce microcontrôleur transfert l’image résultante vers un afficheur graphique de résolution 64x64 pixels sur 8 bits. C’est un moyen de visualisation compact qui se prête bien aux systèmes embarqués. Il est bien sur possible de transférer cette image sous les trois formats cités plus haut, 8 bits, 4 bits ou 1 bit. Le dernier format correspondrait à l’image binarisée.

Nous choisissons de réaliser l’autofocus passif par une analyse fenêtrée externe à la rétine pour ne pas créer de dissymétrie quand à la proportion de place laissée libre sur l’ensemble de la rétine. Ainsi tous les pixels ont la même surface libre Slibre (Slibre=Spixel-Sactive).

Un autre avantage de ce procédé est la flexibilité sur la position de la

fenêtre d’analyse sur l’image. On peut imaginer vouloir réaliser un traitement sur une fenêtre d’analyse particulière, on calibre alors l’objectif en faisant une analyse sur cette fenêtre en faible résolution (4 bits), puis on réalise le traitement en question, par exemple : une extraction de contour sur une fenêtre 32x32 en haut à gauche de l’image.

Fig. 3 – Graphe du protocole du convertisseur A/N

Page 8: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

8

Microcontrôleur 2 : µC2

Le µC2, microcontrôleur secondaire, contrôle l’autofocus : La partie passive est contrôlée à l’aide des résultats de l’analyse du µC1 et la partie active est assurée par le contrôle du télémètre, réalisé par le µC2, il s’agit des signaux DELs IR et capteur IR. L’autofocus est piloté par les signaux "Focus" et "Diaphragme" qui contrôlent respectivement la focale et l’ouverture de l’objectif. Microcontrôleur 3 : µC3

Le µC3 réalise des contrôles spécifiques à la rétine, notamment le contrôle du temps

d’intégration des pixels en fonction de l’éclairement. On peut imaginer toutes sortes de paramètres de traitements implantés en dur au sein du photosite.

Exemple : Simple seuillage de l’image. Le seuil est alors un paramètre à transmettre à la rétine,

et l’image résultante sera binaire, recueilli sous le format 1 bit par le microcontrôleur principal et affiché en temps réel. Dans ces conditions, en première approximation, sans re-calibrer systématiquement l’autofocus, avec un microcontrôleur RISC à 10 MIPS (Millions d’Instructions Par Seconde), l’image binaire peut être affichée à la cadence de 10000 images par seconde. Validation de l’architecture :

Dans un premier temps, nous nous attacherons à valider la partie en pointillé du synoptique. Cette partie du système peut être validée avec un capteur APS du marché, le capteur CMOS Neuricam Pupilla NC 1802. Puis dans un second temps, nous remplacerons le dit capteur APS par notre rétine CMOS. 2.3 Quelques rappels d’optique

Le premier problème à palier est un problème d’optique. Avant de s’intéresser à l’image d’une scène, il faut que l’objet ou le groupe d’objets prédominant de la scène soit convenablement projeté sur le plan focal image de l’objectif, ici la rétine CMOS. 2.3.1 Aspect théorique des lentilles minces convergentes

Deux lois régissent principalement la physique des lentilles minces (Figure 4) : - Un rayon passant par le centre d’une le centre d’une lentille mince n’est pas dévié. - Tout rayon incident parallèle à l’axe optique émerge en passant par le foyer principal

image F’.

Fig. 4 - Image d’un objet par une lentille mince convergente

F

F’

O

A’

B’

Plan focal image

B

A

Page 9: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

9

Les deux lois énoncées plus haut entraîne deux conséquences sur l’image formée. La première est formulée par la relation (3) et détermine la position, la seconde, définit le grandissement par la relation (4).

1

OA′ - 1

OA = 1

OF′ (3)

A ′B ′

AB =

OA ′

OA =γ (4)

Avec OA ′ , OA, OF ′ , A ′B ′ et AB, des distances algébriques. (3) est aussi appelée formule de

position et (4) formule de grandissement.

En première constatation, plus l’objet est éloigné, plus l’image de l’objet est proche du plan focal image et plus le grandissement est faible. Un objectif avec focus contrôlable est un assemblage complexe de lentilles qui se comporte comme une lentille à focale variable.

L’autofocus permet d’effectuer automatiquement la mise au point de la netteté quelque soit le degré de réduction ou d’agrandissement.

Encore aujourd’hui, les autofocus actuels ne fonctionnent pas en toutes circonstances, ils

patinent ou ne sont pas assez rapides. L’ambition de notre système et de contrôler plus intelligemment et beaucoup plus rapidement l’autofocus pour en tirer meilleure partie, en vue d’obtenir une image propre et ainsi de faire, dans de bonne conditions, des prétraitements au sein même du pixel. 2.3.2 Autofocus actif distance objet < 10m, utilisé dans 10% des cas

L’autofocus actif est utilisé pour visualiser des objets situés à une distance inférieure à dix mètres de l’imageur CMOS. Statistiquement, ce procédé sera utilisé durant 10% de la vie du système. Il est basé sur le principe du télémètre à infrarouge. Le principe est le même pour le télémètre à ultrason. Six diodes électroluminescentes à haute luminosité dans l’infrarouge sont alimentées par un signal créneau à une fréquence de 37 kHz. Tout faisceau réfléchi par un ou plusieurs objets situés sur l’axe optique de l’objectif est détecté par deux capteurs infrarouges TSOP, avec filtre intégré, pour s’affranchir d’éventuels parasites extérieurs, permettant ainsi de calculer la distance entre l’objectif et le premier objet de la scène. Cette distance ainsi calculée permet de contrôler la partie motorisée de l’objectif. L’aller-retour, de la trame émise, est calculé sur une base triangulaire, intégré par un microcontrôleur (µC2), qui transmet un signal de déplacement au groupe optique de l’objectif.

Fig. 5 - Synoptique de la rétine CMOS associé à l’objectif

Rétine CMOS

Traitements temps réel

Objectif +

AF et ouverture

Objectif

TSOP

2 Capteurs infrarouge TSOP à 37 kHz

6 DELs Infrarouge haute luminosité

IR

Page 10: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

10

Les six DELs infrarouges, ainsi disposées autour de l’objectif (Figure 5), ont un autre avantage : la vision à faible flux d’objets proches. Des essais futurs permettront de mieux caractériser cette particularité. Au-delà de 10 mètres, ce système ne fonctionne plus, le signal étant trop faible, on utilise alors un autofocus passif, si les mouvements de la scène ne sont pas trop rapides sinon, le boîtier fait la mise au point sur l’hyperfocale (Section 2.3.4).

2.3.3 Autofocus passif distance objet > 10m

L’autofocus passif est utilisé pour visualiser des objets situés à une distance supérieure à dix mètres de l’imageur CMOS. Il est basé sur une analyse de l’image. Le point fort de notre système est qu’il s’appuie sur une rétine CMOS, qui offre la possibilité de réaliser une analyse, ou un traitement, sur une fenêtre d’intérêt, comme le fait le système de vision humain. L’œil humain, associé au cerveau, analyse une scène en prêtant attention au départ au centre de l’image, puis l’analyse s’étant sur une zone plus élargie. C’est typiquement le comportement que l’on adopte face aux images test cryptées des docteurs en psychiatrie. A contrario, les capteurs CCD (Charge Coupled Divice) impose un chargement complet de l’image avant traitement. Avec la propriété des rétines CMOS, il est alors possible d’analyser plus efficacement et plus rapidement la scène, et alors d’agir en conséquence pour contrôler la mise au point et le paramètre d’ouverture de l’objectif, afin d’obtenir une image nette en toutes circonstances. 2.3.4 Mise au point sur l’hyperfocale

L’hyperfocale est la distance la plus courte à laquelle un sujet sera net lorsque la mise au point

est réalisée sur l’infini. Exemple : avec un objectif de 28mm de distance focale (f) et son paramètre d’ouverture réglé à

f/11, la mise au point étant réalisée à l’infinie, l’hyperfocale est de 2,16 m. Ainsi tous les sujets situés entre 2,16 m et l’infini sont nets. Ce procédé à pour principal avantage de faire une mise au point à long terme, afin de faire des traitements bas niveaux sur l’image sans avoir à modifier systématiquement la focale. La distance hyperfocale est donnée par la relation (5).

H= f.dc

(5)

Avec, H, la distance hyperfocale, f, la distance focale de l’objectif, d, le diaphragme choisi et c, le

cercle de confusion. Chaque point objet donne un point d'un certain diamètre sur le film dans le cas d'une image

"floue". On considère que l'image est "nette" lorsque le diamètre de ce point est inférieur à une tolérance de netteté. Pour un appareil argentique 24 x 36, on utilise une tolérance égale à 0,033 mm, si c'est un appareil 6 x 6, la tolérance est égale à 0,05 mm. Cette tolérance est aussi appelé cercle de confusion. 2.3.5 Angle de champ

Une focale dite normale est une focale dont l’angle de champ se rapproche de celui de l’œil

humain pour une vision à distance normale, il est d’approximativement de 50°. L’angle de champ (Figure 6) est donné par la relation (6).

β=2.Arctan

diagonaleimage

2.f (6)

Page 11: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

11

Fig. 6 - Angle de champ avec une lentille convergente

Si le capteur APS à pour dimensions 2.24x2.24mm2 et est placé sur le plan focal image de

l’objectif, alors la focale normale est : f= 2.24 2

2.tan

50°2

ó3,4 mm

Un grand angle est un objectif de focale inférieur à la focale normale, ainsi dans le même cadre, on aura plus d'objets mais les perspectives ont tendance à se déformer. 2.3.6 Le diaphragme

Les objectifs sont munis d’un diaphragme (Figure7) qui permet de faire passer plus ou moins de lumière. Son ouverture s’exprime en diamètre par rapport à la focale.

Exemple : Un objectif de 28mm ouvert à f/11 à un diamètre d’ouverture de 2,54mm.

La quantité de lumière qui traverse l’objectif varie avec le carré de l’ouverture. On obtient ainsi une série d’ouverture standard (Tableau 1). Un objectif lumineux est un objectif laissant passer beaucoup de lumière. Pour notre application, une action sur le paramètre d’ouverture est intéressante. En effet, cela nous donne un degré de liberté supplémentaire en plus de la focale, du grandissement et du temps d’intégration. En agissant à la fois sur le diaphragme et sur le temps d’intégration, on peut agir efficacement sur la profondeur de champ et donc réaliser une mise au point plus rapide et plus précise.

Fig. 7 - Paramètre d’ouverture

Tab. 1 - Série d’ouverture standard

1 1,4 2 2,8 4 5,6 8 11 16 22 32 45 64 20 21/2 22/2 23/2 24/2 25/2 26/2 27/2 28/2 29/2 210/2 211/2 212/2

Page 12: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

12

Chapitre 3

La rétine CMOS

Une rétine CMOS peut être vue comme une grille bidimensionnelle de "cellules" [3], chaque cellule étant formée de l'association d'un élément photosensible (photorécepteur), et d'un élément de calcul (processeur élémentaire). Du fait du caractère massivement parallèle, une rétine peut avoir des performances en vitesse, en intégration et en consommation meilleures que les solutions classiques (capteurs puis traitements logiciels et/ou matériels) [4]. 3.1 Rappels sur la technologie CMOS

La technologie CMOS est une technologie planaire destinée au développement des systèmes à

très haute échelle d’intégration (VLSI). Grâce aux propriétés des transistors MOS complémentaires (notées CMOS pour Complementary Metal Oxyde Semiconductor), cette technologie permet de réaliser des circuits à faible coût et à basse consommation. Cet avantage lui a permis d’être reconnue comme la technologie de pointe la plus avancée et la plus maîtrisée dans le domaine de la micro-électronique.

La technologie AMS 0.35 µm est une technologie CMOS à caisson p qui comporte 4 couches de métallisation. Les transistors NMOS et PMOS qui peuvent être réalisés par ces technologies (Figure 8) sont utilisés pour former des fonctions analogiques ou numériques. Les procédés de fabrication utilisés dans chaque technologie CMOS pour réaliser ces transistors diffèrent d’un fabriquant à un autre et évoluent continuellement pour réaliser des composants plus performants et plus rapides.

3.1.1 Modèle simplifié du transistor MOS pour la conception de fonctions analogiques

Le transistor MOS, Métal-Oxyde-Semiconducteur, est devenu l'un des principaux composants actifs utilisé dans l'intégration des circuits numériques et des circuits mixtes. Avec la réduction d’échelle, principalement représentée par des longueurs du canal sub-microniques, les modèles doivent prendre en compte de nouveaux phénomènes.

Ainsi de nouveaux modèles analytiques ont été développés suivant les évolutions technologiques. Pour prendre en compte ces nouveaux phénomènes physiques, les équations analytiques se complexifient. Cependant pour mieux appréhender le comportement des performances des fonctions analogiques lors de la conception des circuits, il est nécessaire de se baser, pour le raisonnement, sur un modèle analytique relativement simple du transistor MOS, celui-ci se rapprochant le plus possible de celui du 1er ordre (Tableaux 2 et 3).

Page 13: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

13

Fig. 8 - vue en coupe de la technologie de fabrication AMS 0.35µm

Tab. 2 - Courant et résistance équivalente du NMOS

Tab. 3 - Courant et résistance équivalente du PMOS

Page 14: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

14

3.1.2 Le miroir de courant Les miroirs de courant réalisent des fonctions simples ayant en entrée et en sortie des courants, les paires différentielles transforment des différences de tensions en différences de courants (Section 3.1.3) et les charges transforment des courants en tension. Les miroirs de courant permettent de copier des courants. Ils permettent également de réaliser des fonctions simples, comme des additions, des soustractions et des valeurs absolues. Ils sont utilisés de manière extensive pour polariser les blocs fonctionnels analogiques. Ils utilisent le principe de similitude : Deux dispositifs identiques mis dans des conditions identiques se comportent de manière identique. La polarisation des transistors (Figure 9) est donnée par la relation (7), établie en régime de forte inversion, VGS ⟩⟩ VTN.

I1= KN

2 (VGS1-VTN)2

I2= KN

2 (VGS2-VTN)2 (7)

Les conditions de fonctionnement sont décrites par les deux strictes inégalités suivantes : Fig. 9 – Miroir de courant I1>0 et VDS2>VGS2-VTN On obtient ainsi la relation de transfert en courant (8).

I2

I1 =

WL

2

WL

1

(8)

De nombreux traitements analogiques peuvent être réalisés à l’aide de miroirs de courant

comme l’addition, la soustraction ou encore la valeur absolue, c’est justement l’objet des trois points qui suivent.

3.1.2.1 Miroirs effectuant une addition Selon la figure 10, et d’après la relation (8),

en considérant les quatre transistors NMOS appariés, ce cas est trivial.

ID1=ID2 et ID3=ID4 D’après la loi des noeuds, I2=ID2+ID3 Il vient, I2=I1+I3 (9)

Fig. 10 – Miroirs effectuant une addition

Page 15: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

15

3.1.2.2 Miroirs effectuant une soustraction

Selon la figure 11, et d’après la relation (8),

en considérant les quatre transistors NMOS appariés, ce cas est tout aussi trivial.

Il vient, I3=I2-I1 si I2>I1 I3=0 sinon (10)

3.1.2.3 Miroirs effectuant une valeur absolue

Les miroirs P1-P2-P5 et P3-P4-P6 distribuent les courants d’entrée I1 et I2 dans les soustracteurs N1-N2-N3-N4 et N5-N6-N7-N8. Les courants résultant des deux soustractions sont sommés pour former I3, donnée par la relation (11).

I3=|I2-I1| (11)

Cette structure (Figure 12) génère la norme infinie entre deux courants d’entrées. Elle est utilisée pour polariser des amplificateurs adaptatifs dans lesquels le courant de polarisation est augmenté lorsque la norme du signal augmente, ce qui est le cas dans les systèmes de démodulation analogique de type AM (modulation d’amplitude).

Fig. 12 – Miroirs effectuant une valeur absolue

Fig. 11 – Miroirs effectuant une soustraction

Page 16: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

16

3.1.3 La paire différentielle CMOS

Une paire différentielle CMOS est constituée de deux

transistors MOS (Figure 13) appairés, reliés par leur source. La polarisation est assurée par une source de courant statique I0. Si pour minimiser l'erreur d'appariement, les deux transistors sont généralement polarisés en régime saturé fortement inversé (bien au-delà de la zone de pincement, en mode source de courant), ils sont parfois placés en régime de faible inversion, volontairement, pour optimiser les transconductances de grille, ou contraint, du fait de l'utilisation d'une faible tension d'alimentation, ici 3,3V.

L’étude de cette structure se décompose en deux parties,

l’étude de la caractéristique grand signal et la détermination de la transconductance. En effet, la structure présentée est un amplificateur à transconductance de grille (OTA à 5 transistors).

Fig. 13 - Paire différentielle CMOS Pour simplifier l'analyse pour le grand signal, on considère l'expression simplifiée du courant de drain du transistor MOS en régime saturé (12) et on relie les sources des transistors au substrat (pas d'influence des transconductances de substrat).

ID1= KN

2 (V1-VDS3-VTN)2

ID2= KN

2 (V2-VDS3-VTN)2 (12)

On pose I0= ID1+ID2, la source de courant, Imd=ID1-ID2, le courant différentiel de sortie et

Emd=V1-V2, la tension différentiel d’entrée. On trouve alors la relation (13) qui donne le courant différentiel de sortie en fonction de la tension différentiel d’entrée.

Imd= KN

2 Emd

4I0

KN -Em d

2 (13)

Avec, -2 I0

KN <Emd<2

I0

KN et d’après (6) I0=

KN

2 (Vpol-VTN)2

Nous pouvons procéder aux applications numériques d’après la documentation de la société Austriamicrosystems (AMS) référencé ENG-182 sur les paramètres électriques des transistors MOS 3.3Volt répertoriés en page 11.

D’après le Tableau 2, on a : KN=CoxµN WL

avec Cox= ε0εr

Tox , De plus, ε0=

136π.109.

F/m, la

permittivité absolue, εr=3.9, la permittivité relative, Tox=7.6 nm, l’épaisseur d’oxyde, W=0.4µm, la longueur de diffusion du transistor, L=0.35µm, la largeur de grille, µN=370 cm2/Vs (µP=126 cm2/Vs pour le PMOS), la mobilité des électrons et VTN=0.48V (VTP=-0.68V), la tension de seuil du transistor NMOS.

On en déduit une valeur approchée (14) du gain du transistor NMOS en technologie AMS

0.35µm, sous 3,3V.

Page 17: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

17

KNó192 µA/V2 (14)

On obtient le même gain pour un PMOS avec une longueur de canal de WP=WN . µN

µP ó1,5 µm.

En choisissant une tension de polarisation adéquat en fonction des résultats de simulation (Figure 11), il vient, Vpol=1,35V et donc, d’après la relation (6), I0ó73 µA, ce qui détermine la relation numérique (15).

Imd=96.Emd 1.51-Em d

2 µA -1.22V<Emd<1.22V (15) Cette relation peut être approximée au premier ordre, on obtient ainsi le gain de

transconductance donné par la relation (16) ci-dessous avec un domaine de validité plus restrictif.

Imd=118µA/V . Emd -0.8V<Emd<0.8V (16)

Fig. 14 - Résultats de simulations d’un comparateur utilisant une paire différentielle

VOUT

V IN ó8

Page 18: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

18

3.1.4 La photodiode

Il est nécessaire ici d'ouvrir une parenthèse au sujet de l’effet photoélectrique. En effet, au niveau de la partie photosensible, le silicium a pour but de convertir les photons répartis sur le plan focal en électrons (ou photoélectrons).

L’effet photoélectrique (Figure 15) se produit lorsqu’un

photon est absorbé par un atome de silicium qui libère ainsi un de ses électrons de valence par une transition de bande (passage entre la bande de valence<Ev et la bande de conduction>Ec).

La transition de bande ne s’opère que si l’énergie du photon est supérieur à l’énergie de Gap du

silicium hυ>Eg=Ec-Ev=1.12eV. Cette stricte inégalité entraîne l’existence d’une longueur

d’onde maximale λc= h.cEg ó1.1µm qui correspond au proche infrarouge. Pour information, le

germanium (Ge) a une énergie de Gap de 0.7eV, et donc, sensible jusqu’à λc=1.8µm. Le silicium est parfaitement maîtrisé au niveau de la technologie de fabrication, c’est pourquoi on le préfère. Afin de collecter les photoélectrons générés par effet photoélectrique, un champ électrique doit être appliqué. Celui-ci peut se réaliser à travers une jonction PN pour une photodiode ou par une grille d’un MOS pour un photoMOS. Une photodiode est donc une jonction PN, polarisée en inverse, qui collecte des photoélectrons lorsqu’elle est éclairée par un flux photonique. La technologie CMOS permet de concevoir des photodiodes simples basées sur une structure N+/P, dont la région P correspond au substrat et la région N+ est fabriquée de la même manière qu’un drain ou une source de transistor NMOS (Chapitre 4). La photodiode étant polarisée en inverse, le champ résultant ÅE=- Ägrad V entraîne l’apparition d’une zone neutre où il n’y a plus de porteur pour assurer la conduction électrique, c’est une zone dite dépeuplée ou zone de déplétion. Dans cette ZCE (Zone de Charge d’Espace), on peut considérer que tous les porteurs libres créés sont séparés (Figure 16.a). Fig. 16 – Phénomène contribuant au courant photonique (a), caractéristique d’une photodiode (b)

et schéma équivalent dans le 3ème quadrant.

Ainsi, un photon absorbé d’énergie hυ crée une paire électron-trou. Mais tous les photons incidents ne sont pas absorbés, on définit ainsi le rendement quantique ηó70% Fig. 15 - Effet photoélectrique dans

un semi-conducteur

(a) (b) (c)

I0

Page 19: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

19

Dans les zones N et P, la création d’électrons et de trous va déséquilibrer la relation entre porteurs minoritaires et majoritaires, et de ce fait les porteurs minoritaires de chaque région vont diffuser vers le bas. On a donc une composante du courant photonique qui sera due aux trous dans la zone N, et aux électrons dans la zone P. Cette diffusion sera fortement dépendante de la durée de vie τ des porteurs minoritaires de chaque région [10].

Comme illustré ci-dessus, la zone de déplétion se situe presque exclusivement dans la région P, en raison du fort dopage de la région N+. Donc pour arriver dans la zone photosensible, les photons doivent d’abord traverser la région quasi neutre (N+) qui influe sur la réponse spectrale de la photodiode.

On rappelle que le rendement quantique est le facteur de proportionnalité qui existe entre le nombre de paires électrons-trous générées et le nombre de photons incidents. Le courant photonique I0 est donc le produit du flux (i.e. le nombre de photons incidents par unité de temps) par le rendement quantique, et il circule dans le sens inverse de la diode passante (Figure 16.b), i.e. de la région N+ vers la région P.

La polarisation de la photodiode dans le troisième quadrant (Figure 11.b) est intéressante pour l’allure constante du courant. Dans ces conditions, la photodiode est assimilable à un générateur de courant I0 en parallèle avec la capacité de jonction CΦ entre la diffusion et le substrat (Figure 16.c). Cette capacité dépend des paramètres Cs, capacité surfacique et Cp, capacité périmétrique de la diffusion, ainsi que de ces paramètres géométriques. De plus les capacités Cs et Cp sont calculées pour une polarisation donnée. En considérant S l’aire de la diffusion et P son périmètre, la capacité de jonction est donné par la relation (17).

CΦ=S.Cs+P.Cp (17)

Le photocourant I0 dépend exclusivement de la longueur d’onde λ et de la puissance optique Popt.

En considérant h, la constante de Planck (h=6.62.10-34 Js), η, le rendement quantique (ηó70%), c, la célérité de la lumière (c=3.108 m.s-1) et e, la charge élémentaire (e=1,6.10-19 C), l’expression du courant photonique est donnée par la relation (18).

I0=η λehc

Popt (18)

De plus la puissance optique est le produit de l’éclairement (E en lm.m-2) par la surface active S. Dans notre rétine nous avons fixé la longueur des côtés du photosite à 35 µm soit une surface totale de 1225 µm2 et en appliquant un taux de remplissage de 25%, la surface active est de 306 µm2. Ainsi dans des conditions de fort éclairement, le courant photonique est de l’ordre de quelques dizaines de nanoampères (19). Eclairement fort : E = 500 lux => I0 = 47 nA Eclairement faible : E = 5 lux => I0 = 470 fA (19)

Dans notre rétine, nous choisissons de lire l’information "flux lumineux incident" par

intégration du photocourant. C’est l’approche la plus couramment employée dans les capteurs CMOS actif (APS). Le principe de fonctionnement d’une photodiode, en mode tension, est basé sur le phénomène de charge et de décharge de la capacité équivalente. La structure schématique y est présentée dans le chapitre 4. En fonctionnement normal, la diode est préchargée à la tension connue Vinit (Figure 16.a) très proche de Vdd, la tension d’alimentation de la rétine. Lorsque la phase d’initialisation est terminée, si nous considérons que le potentiel aux bornes de la photodiode est Vdd, la décharge de la photodiode se fait proportionnellement à l’intégrale de la valeur du photocourant. La capacité se décharge à courant constant si la lumière est constante. En faisant quelques approximations sur la non-linéarité de la capacité, la décharge est assimilable à une droite dont la pente est proportionnelle à la puissance lumineuse (Figure 17).

Page 20: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

20

En première approximation, la photodiode se décharge à courant constant. Son évolution est régie par la relation (20).

I0=CΦ dvdt (20)

On peut donc réaliser une lecture

de la tension au bornes de la photodiode à intervalle régulier ΔT. La différence de tension ΔV est donnée par la relation (21).

ΔVó I0

CΦ .ΔT (21)

Fig. 17 - Précharge puis décharge de la photodiode

3.1.5 La cellule mémoire DRAM 3T

La cellule mémoire DRAM est une structure CMOS qui mémorise une information binaire pendant un certain temps, au bout duquel est réalisé le processus de rafraîchissement. Dans notre application nous avons besoin de mémoriser l’image pendant la période d’acquisition de l’image complète (période trame). Ainsi pour une cadence élevée, de l’ordre de 1000 images par seconde, il est nécessaire de maintenir l’information sauvegardée pendant au moins un millième de seconde.

L’idéal serait d’avoir la sortie, binaire, en faible impédance, or les structures de cellule DRAM,

qui existent, ont un accès en lecture sous haute impédance et pour notre application, il n’est pas nécessaire d’utiliser une structure à rafraîchissement. C’est pourquoi la structure présentée ici (Figure 18) est quelque peu différente. Cette structure à des avantages déterminants; constituée de trois transistors MOS aux dimensions minimales dont deux NMOS, cette cellule a une impédance de sortie très faible et mémorise l’information pendant plus d’une milliseconde.

(a)

Page 21: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

21

Fig. 18 – Schéma (a) et graphe (b) de simulation de notre cellule DRAM 3T 3.1.6 La cellule mémoire SRAM 6T

La mémoire RAM (Random Access Memory ou " mémoire à accès aléatoire ") statique est une cellule bistable qui ne nécessite pas qu’on l’a rafraîchisse contrairement à la mémoire DRAM. Sa structure (Figure 19) est universelle et compte deux fois plus de transistors mais elle est plus sure et plus stable que la DRAM.

Fig. 19 – Schéma et graphe de simulation d’une cellule SRAM 6T D’après la simulation, la charge active doit être polarisée à Vpol=2V, pour qu’elle joue convenablement sont rôle de charge vis-à-vis des deux transistors NMOS m_3 et m_6 de la cellule SRAM.

(b)

Page 22: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

22

3.1.7 Le registre à décalage Ce montage, appelé également de son nom anglais "shift register", est destiné à convertir un flot de données série en un flot de données parallèle. La principale utilité de cette structure (Figure 20), dans notre application, est dans la réalisation d’un convertisseur analogique numérique câblé en dur au sein de la rétine. En effet, on peut imaginer une structure de convertisseur basée sur un comparateur, lui-même basé sur une paire différentielle, et un registre à décalage. Ainsi le comparateur émet un flot de données série, converti en un flot de données parallèle par le registre à décalage, qui fournit, en sortie, la valeur convertie de l’analogique vers le numérique.

Fig. 20 – Schéma et graphe de simulation d’un registre à décalage 8 bits avec mémoire statique

Page 23: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

23

Les deux horloges clk1 et clk2 sont en opposition de phase afin de réaliser la cascade de l’information émanant du signal "flot". Les signaux peu rémanents S0…S7 sont mémorisés dans des SRAM à 6 transistors. Le graphe de simulation (Figure 20) montre bien comment le flot de données série est converti en un flot de données parallèle M0…M7. Le fonctionnement de ce registre n’est pas détaillé ici, car dans notre application, nous avons fait le choix de ne pas implanter de registre à décalage, afin de privilégier le développement d’une stratégie de communication entre le comparateur et le microcontrôleur principal (Chapitre 2). Des simulations ont été réalisées sur une structure de registre à décalage 8 bits, avec mémoire statique, pour une fréquence de fonctionnement de un mégabit par seconde, tout à fait concluantes sur le bon fonctionnement de cette structure. 3.2 Quelques traitements envisageables La technologie CMOS permet de réaliser des traitements au sein même du pixel, cellule élémentaire de notre rétine. Nous ne voulons surtout pas figer dans le silicium une structure qui ferait toujours le même traitement, c’est une des perspectives de la thèse consécutive à ces travaux de DEA. Pour ne pas faire une liste exhaustive des traitements envisageables, seuls quelques traitements, utilisés couramment en traitement d’image, sont présentés ici, afin d’imaginer les possibilités apportées par notre rétine. On peut citer par exemple, l’extraction de contours ou la Binarisation. Avant d’aborder le vif du sujet, il est nécessaire de faire une parenthèse sur la répartition spatiale des traitements implantés en dur dans la rétine. Dans les structures classiques, les traitements sont implantés dans un photosite, lui-même constitué d’un photocapteur (Figure 21.a). Dans notre rétine nous voulons mieux répartir les sites de traitement de manière à faciliter les interconnexions entre les sites de traitement (Figure 21.b) et surtout mieux penser les dessins de masque de notre rétine.

Fig. 21 – Photosite classique (a), disposition spatiale adoptée dans notre rétine (b)

(a) (b)

Page 24: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

24

3.2.1 Mesure du gradient de Roberts

Notre structure de site de traitement connexe à quatre photocapteurs se prête très bien à la mesure du gradient (Figure 22).

En effet, les amplitudes, de la dérivée première

discrétisée, des variations du niveau de gris suivant les axe x’ et y’ sont données par la relation (21).

∂ I2,4

∂ Äx′ =I2-I4

∂ I1,3

∂ Äy′ =I1-I3 (21)

Ainsi la mesure du gradient est triviale, et donnée par la relation (22).

∂ I1,2,3,4

∂ Åξ =A1,2,3,4.|e iθ | (22)

Avec, A1,2,3,4=|I2-I4|+|I1-I3| et θ=Arctan

I1­I3

I2­I4 + kπ, k∈Î.

Pour obtenir la mesure du gradient dans le repère (O, Åx, Åy), il suffit d’effectuer un changement de base, car les relations établies plus haut ne sont vraies que dans le repère (O,Äx′ ,Äy′ ). La matrice de changement de base est donnée en (23).

x′

y′=

cos(β ) s in(β)

-cos(β ) s in(β)

x

y avec β=

π4

(23)

Dans ce repère, la direction du gradient est ainsi donnée par la relation (24), en ayant, par soucis de simplicité, posé préalablement, I13=I1-I3, I24=I2-I4, I21=I2-I1 et I34=I3-I4. θ=Arctan

I24+I13

I21+I34 si Ix=I21+I34>0

θ=Arctan

I24+I13

I21+I34 + π sinon (24)

3.2.2 Masque de Sobel

Le masque de Sobel est un opérateur dérivatif, souvent préféré au masque de Prewitt, ce filtre élargit certains contours par rapport au gradient de Roberts. Ainsi, sur une image bruitée, le détecteur de Sobel assurera une mesure plus affinée.

L’avantage principal de cette méthode est que le résultat est directement centré sur un photo

capteur (Figure 23.a) et dirigé suivant les axes naturels de l’image. On applique alors un masque 2D de convolution 3x3 (Figure 23.b) afin d’effectuer l’opération mathématique.

Fig. 22 - Gradient de Roberts

Page 25: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

25

Fig. 23 – Architecture matricielle (a), masque 3x3 (b)

Nous proposons ici de mettre en œuvre une régularisation de type "triangle", soit un filtre de régularisation ayant une occupation spectrale limitée définie par la relation (25). F(z)=z-1+α+z (25) On obtient ainsi la famille des masques de Sobel avec α=2. Pour réaliser l’opération dérivée discrétisée en deux dimensions, suivant les axes horizontaux et verticaux, il est nécessaire de construire des matrices 3x3, h1 et h2 données ci-après en (26).

h1=

-1 0 1

-2 0 2

-1 0 1 et h2=

-1 -2 -1

0 0 0

1 2 1 (26)

Ainsi, les amplitudes du gradient de Sobel (27) suivant les axes x et y sont calculées par une opération de convolution centrée sur le photo-capteur "a5" (Figure 23). Fi,j = |G1i,j| + |G2i,j| G1i,j = Fi,j ∗ h1 et G2i,j = Fi,j ∗ h2 (27) Au sein des quatre sites de traitement (Figure 23.a) numérotés respectivement (1), (2), (3) et (4), on applique localement deux masques 2x2, puis par regroupement et simplification, on obtient d’après (26) et (27), les séries d’opérations répertoriées en (28). h1 : I11=-(I1+I4) h2 : I21=-(I1+I2) I12= I3+I6 I22=-(I2+I3) I13= I6+I9 I23= I8+I9 I14=-(I4+I7) I24= I7+I8 (28) De ces opérations élémentaires, on extrait les amplitudes des dérivées discrètes suivant l’axe vertical (Ih1=I11+I12+I13+I14) et l’axe horizontal (Ih2=I21+I22+I23+I24), ainsi dans les sites de traitement, on réalise tout simplement des nœuds. Dans le site (1), on réalise les noeuds I11 et I21, dans le site (2), les nœuds I12 et I22 et ainsi de suite. Toutes ces opérations peuvent être réalisées en un cycle rétine. On appel cycle rétine, toutes opérations de traitements effectuées à l’issue de la trame d’acquisition. En effet ces opérations sont câblées en dur à l’aide de miroirs de courant (Section 3.1) et peuvent être traitées en quelques nanosecondes. Ih1 donne le poids de l’orientation du contour au point courant suivant l’axe vertical de l’image traitée et Ih2, suivant l’axe horizontal. L’amplitude du gradient de Sobel est donnée par la somme des valeurs absolues de Ih1 et Ih2 soit la relation (29).

(a) (b)

Page 26: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

26

Ih1=I11+I12+I13+I14 Ih2=I21+I22+I23+I24 A=|Ih1| + |Ih2| (29) Pour réaliser la valeur absolue, on peut utiliser la structure présentée en 3.1.2.3 mais cette architecture compte 6 transistors PMOS et 8 NMOS. Une addition ou une soustraction a besoin de quatre transistors NMOS (voir 3.1.2). Ainsi pour réaliser l’opération, en utilisant les structures classiques, il ne faudrait pas moins de 12 transistors PMOS et 64 NMOS. Il est donc nécessaire de bien réfléchir à l’architecture afin de trouver des simplifications et alors réduire le nombre de transistors au sein du site de traitement. 3.2.3 Détecteur du deuxième ordre : Laplacien.

Un opérateur permettant d’estimer une dérivée seconde peut être utilisée pour la détection de contour. Dans ce cas, c’est le passage par zéro du signal traité qui donne la position du contour recherché. Contrairement au gradient, le Laplacien est une grandeur scalaire, donc a priori plus simple, le traitement ne fournit cependant aucune indication sur la direction du contour. En pratique deux Laplaciens sont communément employés (30).

Δ1=

0 1 0

1 -4 1

0 1 0 et Δ2=

1 1 1

1 -8 1

1 1 1 (30)

En raisonnant de la même façon que précédemment, on obtient les séries d’opérations

répertoriées en (31).

Δ1 : I11=I4-I5 Δ2 : I21=I1+I2-2.I5 I12=I2-I5 I22=I3+I6-2.I5 I13=I6-I5 I23=I8+I9-2.I5 I14=I8-I5 I24=I4+I7-2.I5 (31)

On obtient ainsi IΔ1 et IΔ2, les amplitudes des deux Laplaciens présentés ici (32).

IΔ1=I11+I12+I13+I14

IΔ2=I21+I22+I23+I24 (32) Une image contour peut ainsi être construite en multipliant l’image gradient (Section 3.2.1 et 3.2.2) par l’image des passages à zéro déduite de l’image Laplacien. 3.2.4 Seuillage par hystérésis : Binarisation

Outre le procédé de Binarisation par seuillage simple, où il suffit de configurer la résolution du convertisseur A/N à un bit (Section 2.2), le procédé de Binarisation utilisant le seuillage par hystérésis est autrement plus complexe.

Cette méthode de seuillage proposée par Canny [11] est très efficace et permet de favoriser les

ensembles de points connexes en éliminant les points isolés. Elle est bien préférable dans la majorité des cas au seuillage simple. Cela étant, ses performances dépendent très largement du choix des deux paramètres seuils. Cet aspect fait de cette méthode une procédure d’extraction de contours peu robuste. Ce procédé doit être mis en œuvre après l’extraction des maxima locaux de la norme du gradient et précéder une opération de suivi et de fermeture du contour. Pour ouvrir une parenthèse au sujet de l’extraction des maxima locaux, l’algorithme le plus simple consiste à comparer la norme du

Page 27: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

27

gradient en un point M à celle de ses deux voisins situés dans la direction du gradient à la distance unité par rapport à ce même point M. L’algorithme de seuillage par hystérésis est le suivant :

- Seuiller toute l’image des maxima locaux à l’aide du seuil bas; - Ne valider que les ensembles de points présentant au moins une valeur de gradient

supérieur au seuil haut.

Avant de faire cette opération, le temps d’intégration (Chapitre 4) a été contrôlé à l’aide de la mesure du courant moyen de l’ensemble des pixels de la matrice Imoy_matrice. On a donc une approximation du courant moyen de chaque pixel donné par la relation (33).

Imoy-pixe l= (33) On peut ainsi définir, expérimentalement, un seuil bas et un seuil haut et trouver un algorithme dichotomique qui s’adapte bien au problème posé ici, dans le souci d’établir une procédure d’extraction automatique de contour.

Imoy_matrice

642

Page 28: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

28

Chapitre 4

Application à la caméra rapide L’imagerie rapide est un domaine en plein essor. En effet, les physiciens mécaniciens en ont besoin pour analyser le mouvement. Il y a aussi une forte demande de la part des préparateurs sportifs qui utilisent cette outil pour corriger certains mouvements, comme la décomposition de la course d’un athlète de haut niveau ou encore la décomposition d’un saut, notamment dans la discipline du saut à la perche. Un débit élevé peut aussi tout simplement servir à réaliser du sur-échantillonnage et réaliser alors certains traitements entre deux trames à la cadence vidéo. Le pixel est la cellule élémentaire de l’architecture de notre rétine, il est donc fondamental et nous y avons accordé une importance toute particulière. Constitué de l’association d’un élément photosensible, le photodétecteur, et d’une unité de traitement spécifique, le pixel est un élément capital. Le pixel du capteur est le quantum de l’image, c’est la plus petite partie de l’image observée. Ces dimensions définissent la résolution spatiale, sur le plan focal, ici 2 fois la largeur du photosite. Nous avons choisi un taux de remplissage raisonnable de 25%. Ce taux est défini par le rapport de la surface active sur la surface total du pixel. Si on se fixe un financement de l’ordre de 5000€, le coût de la technologie AMS 0.35µm étant de 650€/mm2, nous disposons donc de 7,7mm2 de surface de silicium. Nous nous sommes donc fixés une largeur de 35µm pour le photosite soit 4096 pixels de 1225 µm2, il reste ainsi 2 mm2 de silicium de libre pour le décodeur de ligne, le multiplexeur de colonne et le comparateur servant à la conversion analogique numérique. 4.1 Le photo-détecteur

Le photo-détecteur est l’élément déterminant dans la conception d’une rétine CMOS. Nous avons choisi de mettre en œuvre une photodiode de type N. C’est un excellent compromis entre surface sensible, rendement, facilité de mise en œuvre par rapport aux autres solutions technologiques existantes (Section 3.1.4).

Les photodiodes de type N sont constituées d’une

diffusion N dans un substrat P. Elles ont un meilleur rendement que les diodes de type P, en plus de contraintes de dessin moins importantes. En effet, elles ne nécessitent pas de puit N qui impose des distances minimales importantes. Les diodes de type N sont dans un substrat P faiblement dopé, alors que les diodes de type P sont dans un puit N plus dopé.

Fig. 24 – forme éventuelle

de la photodiode

Page 29: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

29

Or la zone de charge d’espace se développe d’autant plus que le semi-conducteur est faiblement dopé, et que la capacité de jonction est plus faible. Une zone de charge d’espace plus grande permet statistiquement une plus grande collecte des charges libérées par les interactions entre les photons et les électrons périphériques. Les diodes de type N sont donc plus intéressantes.

Nous avions réfléchi préalablement à une forme en croix pour la photodiode (Figure 24) mais en

tenant compte des contraintes de dessin, on démontre que ce choix est physiquement impossible car la résolution du système (34) aboutit à la conclusion que le coté b ait une longueur négative.

Sactive= Spixel

4 =

(a+4,8)2

4 =(b+4,8)[2(a+4,8)­b)]

Slibre=(a­b)2=3 Spixel

4 =919 µm2 (34)

Ce système aboutit à une solution physiquement inacceptable, nous avons donc imaginé une autre forme de photodiode en tenant compte du fait que la zone de déplétion est plus efficace aux bords, nous avons tout intérêt à trouver une forme s’éloignant autant que faire ce peu de la forme carrée. L’idéal serait manifestement le cercle mais pour des raisons de fabrication technologique, nous avons abandonné cette solution. Sur le plan technologique, des formes à 45° ou 135° seraient envisageable, alors nous est venue l’idée de cette forme quasi polygonale (Figure 24.a). Sur cette figure, le contour en rouge symbolise la surface réservée à la connexion des diffusions au substrat, à savoir la connexion de la cathode et de l’anode de la photodiode.

Fig. 25 – Forme de la photodiode (a), layout de la photodiode (b) En effet cette forme quasi octogonale est le résultat de calculs théoriques qui tendent à montrer

que la photodiode ainsi conçue offre des performances bien meilleures que tout autre photorécepteur proposé à l’heure actuelle. Ce qui est une des originalités de notre travail.

Pour comparer la forme particulière de cette photodiode à la forme traditionnelle carrée, on peut

représenter graphiquement les évolutions des surfaces dites perdues Spc et Spo à même surface active "Sactive" pour les deux formes, carrée et quasi-octogonale.

La surface active de la photodiode aux formes quasi-octogonales est donnée par la relation (35).

Sactive o c t o=5a2+ 4a2

2 =7a2=Sactivecarré=A2, A=a 7 (35)

(a) (b)

Page 30: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

30

On considère donc A, la longueur du côté du carré équivalent. La figure 26 donne la représentation d’une telle forme carrée avec la surface réservée aux connexions délimitée en rouge.

L’évolution des surfaces perdues Spc et Spo, en fonction de la longueur équivalente A, sont données par les relations (36). Spc=2,44(A+2,4)=9,6A+23,04

Spo=9,6 a(1+ 2 )+11,52 2 , a= A7

(36)

Les équations établies ci-dessus permettent de représenter graphiquement les évolutions des surfaces perdues Spc et Spo en fonction du paramètre A (Figure 27).

Fig. 27 – Graphe comparatif des surfaces perdues La conclusion à tirer de cette étude est que la forme quasi-octogonale est plus intéressante pour trois raisons :

- La surface réservée aux connexions est moindre de l’ordre de 12% par rapport à la forme carrée,

- Cette forme rend la photodiode plus efficace en terme de collecte des charges libérées par les interactions entre les photons et les électrons périphériques, la zone de déplétion étant plus efficace aux bords,

- Enfin cette forme est technologiquement réalisable par le fondeur.

Un petit bémol néanmoins sur le dernier point; Nous pourrons effectivement faire réaliser au fondeur un prototype de notre rétine avec cette forme particulière de photodiode, mais il est à noter qu’une production en grande série ne sera envisageable que dans quelques années en technologie AMS 0.35µm. En effet, La fragmentation est très rapide quand les structures sont orthogonales. Mais s'il y a des millions de structures à 45 degrés, et c’est le cas pour notre photodiode ainsi dessinée, cela ralenti énormément le procédé de fabrication surtout s'il y a des centaines ou des

Fig. 26 – représentation de la forme d’une photodiode carrée

Page 31: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

31

milliers de points à traiter pour chacune de ces arrêtes. Dans ce cas la production de masse est plus délicate.

Nous avons choisi de réaliser notre photodiode en prenant, a=5 µm, soit un taux de remplissage

géométrique, où la surface perdue est prise en compte, donnée par la relation (37).

Fgéo= =25% (37)

Le taux de remplissage en terme de rapport de la surface active à la surface du pixel est de 14,3% soit 3% de mieux que ce que nous aurions obtenu avec une forme carrée.

Reste maintenant à détailler les traitements implantés en dur, dans le site de traitement,

appliqués à l’imagerie rapide.

4.2 Le site de traitement

Ce que nous appelons site de traitement, c’est le lieu où sont implantés en dur les différents traitements (Figure 28). La répartition spatiale proposée est plus propice aux interconnexions, de plus les éventuels traitements décrits dans le chapitre précédent seront plus facilement implantables que dans une structure classique de photosite (Figure 21.a).

Il est donc plus aisé de concevoir le site de

traitement, ainsi placé au centre de quatre photorécepteurs connexes. De cette manière, chaque site de traitement est en communication direct avec ses quatre sites de traitement voisins, la rétine est donc plus équilibrée dans son ensemble et donne une dimension supplémentaire aux possibilités, en terme de capacité de traitement, de notre rétine.

Le site de traitement, présenté ici, a été dessiné pour répondre au cahier des charges d’une caméra rapide de résolution 1024 x 1024 au débit de 10 Gpixels/s. A l’heure actuelle, les débits sont de l’ordre du Gpixel/s à cette résolution. Pour cela, cette caméra sera constituée de 256 micros caméras fonctionnant en parallèle à la même cadence. Ces micros caméras ont toutes une résolution de 64x64 pixels et une de ces micros caméras constituera la rétine ainsi présentée. A cette cadence, la rétine doit être capable d’acquérir et de lire une trame (64x64 pixels) en 100 µs, ce qui est physiquement impossible. En effet, il faut un certain temps d’intégration pour réaliser l’acquisition d’une trame et un certain temps pour lire séquentiellement cette même trame. De plus, un temps d’intégration de 100µs est insuffisant en faible flux. Toutefois, il est possible de réaliser l’acquisition de trames avec un tel temps d’intégration dans certaines conditions d’éclairements, en milieux faiblement contraint.

Pour respecter le débit annoncé, la stratégie employée est donc celle du Ping Pong (Figure 29.a). L’idée est de lire séquentiellement la trame précédemment acquise. Pour réaliser cela, on recourt à l’utilisation de deux mémoires analogiques. A chaque trame d’acquisition, une des deux mémoires est mise à contribution pour mémoriser le niveau de gris du pixel pendant que l’autre mémoire, contenant le niveau de gris du pixel de la trame précédente, est accédée en lecture.

Sactve+Spo

642

Fig. 28 – Site de traitement

Page 32: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

32

Fig. 29 - Stratégie Ping Pong (a), Structure du traitement implanté (b)

L’acquisition des niveaux de gris en mémoire se fait de manière simultanée dans tous les sites de traitement de la matrice et ceux en 100µs. Il faut, dans ce même temps, lire séquentiellement tous les niveaux de gris des pixels de la rétine soit 4096 lectures en 100µs, ou encore une lecture séquentielle toutes les 24,4 nanosecondes. Cela correspond à une fréquence de lecture de 40 MHz, ce qui est tout à fait réalisable.

Le traitement consiste en la réalisation

(Figure 29.b) d’un circuit d’acquisition, de deux mémoires analogiques et d’un circuit de lecture. La résistance logarithmique permet de contrôler le temps d’intégration. Le circuit d’acquisition (Figure 30) est constitué d’une photodiode polarisée en inverse et d’un étage suiveur polarisé autour de VDD/2.

A l’issu du préchargement, la photodiode se décharge à courant constant (Section 3.1.4). Le signal de lecture, actif à l’état haut, rend passant le switch analogique et charge alors la capacité parasite CGS de l’amplificateur inverseur, centré sur VDD/2, à la tension correspondante à l’éclairement incident au photosite.

La sortie de l’amplificateur inverseur est en basse impédance et son niveau de tension est proportionnel à la puissance lumineuse. La remise à zéro du pixel par le transistor de reset génère un bruit pixélaire, le bruit de lecture [12] dont la densité efficace est donnée par la relation (38), avec k, la constante de Boltzmann (1,38.10-23 J.K-1), T, la température du transistor (en Kelvin) et CΦ, la capacité d’intégration de la photodiode.

σ= kTCΦ

(38)

Pour éliminer ce bruit, on recourt le plus souvent à une lecture différentielle entre le niveau d’initialisation, après l’ouverture du transistor de l’étage de préchargement, et le niveau du signal de sortie à l’issue du temps d’intégration. Cette technique de réduction du bruit, le double échantillonnage corrélé (CDS), est très répandue dans les capteurs APS. Dans notre rétine, le

(a) (b)

Fig. 30 - Schéma du circuit d’acquisition avec mémoire analogique

Page 33: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

33

potentiel cathodique est polarisé autour de VDD/2, donc en théorie, le niveau de reset sera le même pour tous les pixels, soit VDD/2. Ce qui diminue de fait le bruit pixélaire.

Le circuit électronique du site de traitement a été dessiné (Figure31.a) et simulé (Figure 31.b) à l’aide la chaîne de CAO Mentor Graphic v.3.51.

Fig. 31 - Schéma électronique des traitements implantés (a), graphe de simulation (b).

(a)

(b)

Page 34: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

34

Le signal "Sortie suiveur" est une copie du niveau de tension, aux bornes de la photodiode,

polarisé autour de VDD/2. Les capacités parasites CGS permettent de rendre rémanents, au vu de la période trame, les niveaux de tensions, aux instants de lectures, en sortie des switch 1 et 2. Le signal "Sélection" est maintenu à l’état haut durant toute la simulation. Les signaux de lecture, actifs à l’état haut, sont activés de manière à simuler différents niveaux d’éclairements. Les sorties 1 et 2 donnent les niveaux de tension dans la plage [0;3,3V] correspondant à l’éclairement incident à l’instant de lecture. Le calibrage de la structure est assuré par la tension de polarisation Vpol (ó1,35V) et le temps d’intégration, ici, l’instant où le signal de lecture est actif.

Les résultats de simulation sont tout à fait probants, mais il ne s’agit là que de la simulation d’un circuit électronique qui est vu comme l’assemblage de composants dont les modèles SPICE sont stockés en mémoire et régissent le fonctionnement du composant. Les interactions entre les composants ne sont pas prises en considération. C’est pourquoi il est nécessaire de simuler le dessin de masque (Figure 32.a) du site de traitement.

Fig. 32 – Dessin de masque des traitements implantés (a), graphe de simulation (b).

(a)

(b)

Page 35: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

35

Les résultats de simulation (Figure 32.b) sont tout à fait encourageants, reste quelques détails à vérifier, méticuleusement tous de même, avant d’envoyer définitivement le dessin de masque au fondeur

Le niveau de tension du signal "Sortie 1" correspond aux résultats de simulations du schéma

électronique (Figure 31.b). Les changements de niveaux aux instants de lecture ("Lecture 1" ou "Lecture 2" actif) font transparaître un effet de couplage entre les deux mémoires analogiques. Heureusement, cela est sans conséquence sur le bon fonctionnement du dispositif. Cet effet de couplage était prévisible, puisque que la netliste, généré sous Mentor à partir du Lay-out, prend en compte toutes les capacités entre chaque connexion physique du dessin de masque.

Le niveau de tension du signal "Sortie 2", quand à lui, ne correspond pas exactement aux

résultats de la simulation. A l’heure où sont écrites ces quelques pages, toutes les vérifications ne sont pas faites, notamment les connexions entres les différents transistors. L’hypothèse la plus probable est une erreur de connexion métal-métal, reste à la confirmer.

4.3 La rétine dédiée à l’imagerie rapide

La rétine dédiée à l’imagerie rapide est une matrice de photosite (Figure 33) à l’image de ceux décrits plus haut. Il faut ensuite pouvoir sélectionner la ligne considérée et la colonne pour la lecture séquentielle à l’aide d’un décodeur de ligne et d’un multiplexeur de colonne.

Fig. 33 – Cœur de la matrice dédiée à l’imagerie rapide

Page 36: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

36

Le synoptique de la rétine CMOS

permet de mieux comprendre comment s’opère la stratégie Ping Pong. Les signaux Init, Lecture 1, et Lecture 2 sont interconnectés à chaque pixel de la matrice (Figure 33).

La phase d’acquisition en mémoire est

réalisée par action sur les signaux Init et Lecture.

Plaçons nous dans le cas t0<t<t1

(Figure 29.a). Alors, l'acquisition est réalisée en mémoire 1 et la lecture séquentielle en mémoire 2. La séquence d’initialisation est parallélisée dans toute la matrice. Le signal Lecture 2 est maintenu à 0 durant toute cette phase.

Le multiplexeur de colonne est cadencé à la

fréquence 40 MHz et à l’issue du temps d’intégration, à t=t1, lecture 1 devient actif pendant 200ns.

Les mémoires 1 de chaque pixel sont ainsi

rafraîchies à la nouvelle valeur d’éclairement incident. Durant la phase qui suit, les rôles des mémoires sont inversés.

Les sorties analogiques sont connectées à un

convertisseur analogique numérique, lui-même constitué d’un comparateur (Section 3.1.3), via un multiplexeur 2 vers 1 (Figure 35).

Le multiplexeur 2 vers 1 est piloté par un autre signal émanent du microcontrôleur n°3 du

projet vision (Chapitre 2). Ce signal est, bien sur, synchronisé avec les signaux de lecture. Dans le cas t0<t<t1 (Figure 29.a), ce signal est maintenu à 1, puis à 0 pour t∈ [t1;t2] et ainsi de suite.

Le temps d’intégration est contrôlé à l’aide de la sortie Out_int (Figure 34). Cette sortie

analogique fournie un courant moyen pour l’ensemble des pixels de la rétine, interprété par le microcontrôleur n°3, ce dernier agit en conséquence sur les signaux de lecture.

Le courant moyen est donc interprété par le microcontrôleur n°3 (Chapitre 2) afin de contrôler

au mieux le temps d’intégration et éventuellement pour réaliser un seuillage par hystérésis (Section 3.2.4).

Reste à décrire le décodeur de ligne et le multiplexeur de colonne, nécessaire pour réaliser un

adressage X Y de la matrice. Cet adressage permet l’accès aléatoire aux pixels. Le décodeur de ligne (Figure 36) est une fonction purement numérique, tous ces transistors sont

aux dimensions minimales sauf pour les inverseurs dont le transistor PMOS à une longueur de canal de 1.5 µm.

Fig. 34 – Synoptique de la rétine CMOS

Fig. 35 - Multiplexeur 2 vers 1

Page 37: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

37

Fig. 36 - Structure du décodeur de ligne Les charges actives NMOS créent le zéro récessif nécessaire pour assurer la stabilité à l’entrée

des triples inverseurs à droite du schéma. Le multiplexeur de colonne (Figure 37) est aussi une fonction purement numérique, un peu plus complexe néanmoins que la structure du décodeur de ligne.

Fig. 37 – Multiplexeur de colonne 16 vers 2

La partie décodage d’adresse à droite du schéma est exactement la structure du décodeur de

ligne. Pour simplifier la représentation, le switch est représenté de manière symbolique. Nous aurions pu imaginer le même décodeur d’adresses avec des portes "&" mais la structure comporterait alors trois fois plus de transistors.

Dans un avenir proche, l’architecture de la rétine pourra être validée à l’aide du projet vision

(Chapitre 2).

Page 38: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

38

Conclusion et perspectives Les capteurs d’images en technologie CMOS ont créé un nouveau marché correspondant à l’avènement du multimédia à la fin des années 1990. Leurs caractéristiques optiques, ainsi que leur coût, les ont, en effet, destinés au marché "grand public". L’association de l’électronique et de l’optique permet aussi d’intégrer des fonctionnalités d’acquisition et de traitement sur le même substrat, ce qui confère de la capacité au système de vision. Cette intégration fait l’objet de recherches afin de proposer des solutions offrant de meilleures caractéristiques que les systèmes classiques, composés d’un capteur standard et de périphériques effectuant le traitement.

Ces travaux de DEA, prospectifs au laboratoire, porte sur l’étude et la conception d’une rétine

CMOS appliquée à la l’imagerie rapide. Le dessin de masques de la rétine a été réalisé et simulé avec la chaîne CAO de Mentor Graphic, en technologie AMS 0.35 µm. Les résultats de la simulation sont tout à fait encourageants, il reste toutefois à effectuer de méticuleuses vérifications avant d’envoyer définitivement le dessin de masque au fondeur à Grenoble, prévu pour le 20 juillet 2005.

Les perspectives avancées concernant les travaux de thèse, qui s’amorce dès la rentrée

prochaine, en septembre 2005, sont très intéressantes pour l’imagerie rapide avec traitement temps réel. En effet, on peut tout à fait imaginer un système de vision monolithique (VSOC, Vision System On Chip) intégrant tout une panoplie de traitements programmables applicables à l’imagerie rapide. L’idée sous jacente serait de créer, dans un même circuit, un système de vision artificielle incluant des traitements programmables s’exécutant en temps réel à une cadence de 10000 images par seconde.

Néanmoins, il est raisonnable d’émettre certaines réserves quand à la quantité de traitements

implantables dans le site de traitement. En effet, la première originalité de ce travail est de ne pas figer dans le silicium des traitements dédiés à une application, afin de garder une souplesse et une polyvalence maximale de la rétine créée, cependant, la petite capacité des éléments de calcul constitue un frein réel pour réussir efficacement l’intégration d’algorithmes multiples au sein du même capteur.

Ces limitations impliquent inévitablement de restreindre notre champ d’investigation à des

classes particulières d’applications modélisables selon un principe commun : le domaine des systèmes non linéaires appliqués au traitement des images. De tels systèmes donnent des résultats extrêmement intéressants pour de nombreux traitements bas niveau. Le filtrage du bruit [13], la détection d’un signal de faible amplitude à l’aide de bruit via le phénomène de résonance stochastique [14], la détection de contours [15], sont quelques exemples où les systèmes non linéaires ont su palier aux limitations des procédés linéaires classiques de traitement.

Page 39: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

39

Une caractéristique commune à l’ensemble des exemples de traitements non linéaires présentés

ci-dessus, est leur formalisation sous forme mathématique à l’aide d’équations aux dérivées partielles (EDP) non linéaires. Chaque EDP ainsi définie donne accès à un traitement spécifique.

Du point de vue électronique, ces EDP permettent de décrire l’évolution de la tension aux

noeuds d’un réseau électronique non linéaire appelé CNN (Cellular Nonlinear Networks) constitué de cellules élémentaires couplées entre elles [16]. Associées à des éléments photosensibles fournissant les données à traiter, de telles cellules élémentaires peuvent tout à fait constituer les pixels d’une rétine CMOS programmable dédiée au non linéaire.

Dans le but de développer une rétine CMOS intelligente basée sur des EDP, il convient donc de

synthétiser un nouveau type de cellule (pixel) élémentaire autour d’un élément photosensible (de type photodiode) et d’une résistance non linéaire, constituant l’élément majeur. La conception de cette dernière à l’échelle macroscopique étant actuellement parfaitement maîtrisée [17], il conviendra de pouvoir en établir un modèle original en technologie CMOS pouvant être intégré efficacement au sein d’un pixel ou d’un groupe de pixels si le nombre de transistors mis en jeu est trop conséquent.

Le projet final est la conception et la réalisation d’un système embarqué programmable

entièrement dédié aux traitements non linéaires des images. Ce système sera programmable dans le sens où il permettra de réaliser différents types de traitements basés sur des EDP différentes, mais ayant toutes comme point commun la résistance non linéaire.

A plus long terme, ces recherches pourraient avoir des prolongements intéressants en terme de

validation in situ d’applications en traitement d’images non linéaire qui sont pour l’heure uniquement modélisées et simulées informatiquement. De plus, sur le plan de l’optimisation des ressources matérielles, la reconfiguration dynamique des paramètres des EDP sur la rétine (entraînant donc une modification des traitements à la volée) permettrait de mettre au point des chaînes très complexes de traitement d’images à des cadences extrêmement élevées.

Page 40: Etude et réalisation d’un capteur CMOS en Technologie AMS ...le2i.cnrs.fr/IMG/publications/Memoire.pdf · sur le plan focal image de l’objectif, ici la rétine CMOS. Pour cela,

Mémoire de DEA III Rétine CMOS J. Dubois

40

Bibliographies [1] C. H. Aw and B. Wooley, "A 128x128 pixel standard cmos image sensor with electronic shutter", IEEE Journal of Solid State Circuits, vol. 31, no. 12, pp. 1922-1930, 1996. [2] C.Koch and H.Li, "VISION CHIPS - Implementing Vision Algorithms with Analog VLSI Circuits", IEEE Computer Society Press, Los Alamitos, CA, 1995. [3] M. Tabet and R. Hornsey, "Cmos image sensor camera with focal plane edge detection", in Canadian Conference on Electrical and Computer Engineering, vol. 2, May, 13.16 2001, pp. 1129-1133. [4] Y. Huang and R. Horsney, "Current-mode cmos image sensor using lateral bipolar phototransistors", IEEE Transactions on Electron Devices, vol. 50, no. 12, pp. 2570-2573, 2003. [5] O. Aubreton, B. Bellach, L.F.C. Lew Yan Voon, B. Lamalle, P. Gorria and G. Cathébras, "Retina for pattern matching in standard 0.6um CMOS technology", Journal of Electronic Imaging, SPIE, 13 (3), pp. 559-569, 2004. [6] B. Bellach, B. Lamalle, L.F.C. Lew Yan Voon and G. Cathébras, "A CMOS image sensor design for speed determination of fast moving luminous objects", SME Technical papers, MV03-254, 2003. [7] D. Ginhac, E. Prasetyo, M. Paindavoine and B. Heyrman, "Principles of a CMOS sensor dedicated to face tracking and recognition", IEEE CAMP05 International Workshop on Computer Architecture for Machine Perception, 4 July 2005. [8] H-S. Wong et al., "Nanoscale CMOS", proceedings of the IEEE, vol. 87, no.4, pp.537-570, April 1999. [9] M.J.M. Pelgrom, H.P. Tuinhout, and M. Vertregt, "Transistor matching in analog CMOS applications", IEEE Int. Electron Devices Meeting (IEDM) Tech. Digest, San Fransisco, pp. 915-918. [10] SOLHUSVIK, "Etude et conception de capteurs d’images à Pixels Actifs et de l’électronique de traitement associée en vue d’application faible flux", mémoire de thèse SUPAERO, 1996. [11] R. deriche, "Using Canny’s criteria to derive a recursively implemented optimal edge detector", Int. Journal of Computer Vision, vol. 1, n°2, pp.167-187, 1987. [12] D. NAVARRO, "Architecture et conception de Rétines Silicium CMOS : Application à la mesure du flot optique", mémoire de thèse, 2003. [13] P. Marquié, S. Binczak, J.C. Comte and J.M. Bilbault, Diffusion effects in a nonlinear electrical lattice, Phys. Rev. E 57, 1998. [14] S. Zozor, P.O. Amblard, On the use of stochastic resonance in sine detection, Signal Processing 82, 2002. [15] J.C. Comte, P. Marquié and J.M. Bilbault, Contour detection based on nonlinear discrete diffusion in a cellular nonlinear network, Int. J. Bifurcation and Chaos Appl. Sci. Eng. 11,2001. [16] L.O. Chua, CNN: A Paradigm for Complexity, (World Scientific) 1998. [17] J.C. Comte and P. Marquié, Generation of current-voltage characteristics: a general method, Int. J. Bifurcation and Chaos Appl. Sci. Eng. 12 2002.