electronique numerique logique séquentiellekarlaoui.free.fr/site...

22
ELECTRONIQUE NUMERIQUE Logique séquentielle EPMI Cergy 1AING Logique séquentielle.doc gdedel.webhop.net [email protected] 1 Un système est dit séquentiel, lorsque les variables de sorties sont sous l’influence d’une combinaison des variables d’entrées et de l’état précédent des variables de sortie. I- LES BASCULES: 1- Vocabulaire : Latch : Le traitement de l’information se fait sur un niveau logique (haut, ou bas). Flip-flop : Le traitement de l’information se fait sur un front (montant, ou descendant), avec l’utilisation d’une horloge par exemple. Set : Mise au niveau logique haut (1 ou + alimentation). Reset : Mise au niveau logique bas ( 0 ou la masse de l’alimentation). 2- La bascule RS : La bascule RS est la plus élémentaire des bascules. Réalisation avec des portes NON OU (NOR) : Système logique combinatoire E Variables d’entrées logiques E n E3 E2 E1 S Variables de sorties logiques S n S3 S2 S1 Système logique séquentiel 1 1 R S Q Q R S Q Q

Upload: truonghanh

Post on 11-May-2018

225 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

1

Un système est dit séquentiel, lorsque les variables de sorties sont sous l’influence d’une combinaison des variables d’entrées et de l’état précédent des variables de sortie.

I- LES BASCULES:

1- Vocabulaire :

Latch : Le traitement de l’information se fait sur un niveau logique (haut, ou bas). Flip-flop : Le traitement de l’information se fait sur un front (montant, ou descendant), avec l’utilisation d’une horloge par exemple. Set : Mise au niveau logique haut (1 ou + alimentation). Reset : Mise au niveau logique bas ( 0 ou la masse de l’alimentation).

2- La bascule RS :

La bascule RS est la plus élémentaire des bascules.

Réalisation avec des portes NON OU (NOR) :

Système logique

combinatoire

E Variables d’entrées logiques En

E3

E2

E1

S Variables de sorties logiques Sn

S3

S2

S1

Système logique séquentiel

≥ 1

≥ 1

R

S

Q

Q

R

S Q

Q

Page 2: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

2

Table de vérité : S R Q Q 0 0 Qn-1 Qn-1

0 1 0 1 1 0 1 0 1 1 1 1

Chronogramme :

Conclusion :

On voit que S active la sortie Q et R la sortie complémentaire. Mais en plus il se présente deux cas particuliers :

- Quand les deux entrées sont à 1 les deux sorties le sont également, or les deux sorties sont complémentaires. Ce cas qui existe technologiquement n’est pas souhaitable, il faudra modifier le fonctionnement pour lever cette ambiguïté.

- Quand les deux entrées sont à 0 on observe une mémorisation des états antérieurs, c’est une mémoire élémentaire.

Réalisation avec des portes NON ET (NAND) :

Table de vérité : S R Q Q 0 0 Qn-1 Qn-1

0 1 0 1 1 0 1 0 1 1 1 1

&

& S

R Q

Q

Q= R(Qn-1+S)

Page 3: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

3

S R

Q Q

Q

Chronogramme :

L’inconvénient de ce montage réside dans le fait que les entrée R et S sont

complémentées et peut parfois troubler. Pour éviter ceci on ajoute deux portes NON ET.

Représentation électrique équivalente : La bascule RS trouve son équivalent dans le monde de l’électrotechnique

Ce montage montre que le relais rentre en oscillation et ne trouve pas d’état d’équilibre.

Amélioration du fonctionnement : On a constaté que le cas indésirable était les cas ou S=R=1, rien en effet ne nous

empêche d’appuyer en même temps sur set et reset. Pour palier à ce problème on définit deux modes de marche :

- Marche prioritaire :

S R Q Q 0 0 Qn-1 Qn-1

0 1 0 1 1 0 1 0 1 1 1 0

&

&

&

&

S

R

Q

Q

&

&

&

&

S

R

Q

Q

Q= RQn-1+S

Page 4: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

4

S

R Q Q

S

R Q Q

Cette solution trouve aussi sa représentation dans l’électrotechnique : - Arrêt prioritaire :

S R Q Q 0 0 Qn-1 Qn-1

0 1 0 1 1 0 1 0 1 1 0 1

Cette solution trouve aussi sa représentation dans l’électrotechnique :

3- La bascule RS par état d’horloge (RSH) : On peut utiliser une horloge pour contrôler une bascule RS dans ce cas on travail sur front montant ou descendant donc FLIP FLOP.

Réalisation avec des portes NON ET (NAND) : (représentation sur niveau logique)

H S R Q Q 0 0 0 Qn-1 Qn-1

0 0 1 Qn-1 Qn-1

0 1 0 Qn-1 Qn-1

0 1 1 Qn-1 Qn-1

1 0 0 Qn-1 Qn-1

1 0 1 0 1 1 1 0 1 0 1 1 1 1 1

&

&

&

&

S

R

Q

Q

Q= R(Qn-1+S) la même que la RS mais il faut chercher Q pour voir la différence

&

&

&

&

S

R

Q

Q

H

R

S Q

Q

H

Page 5: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

5

On peut évidemment faire le même type de tableau en raisonnant sur les niveaux.

Chronogramme :

4- Application de la bascule RS: Les bascules RS Latchs sont les éléments de base pour les mémoires. Mais une autre application courante est de les utiliser pour palier aux rebonds mécaniques des contacts électriques. En effet ceux-ci rebondissent quand on les ferme.

5- La bascule Astable (multivibrateur) :

Le multivibrateur est montage qui présente pour deux états internes un basculement permanent. On le nomme donc ainsi. On arrive à ce fonctionnement astable par une rétroaction introduisant un retard (ou différenciateur).

On utilise pour cela une porte trigger de Schmitt inverseuse associée à un circuit RC.

0

1

t

0 t

0 t

0 t

Q

R

S

H

1

1

1

R

S Q

Q

H

Front montant

R

S Q

Q

H

Front descendant

Page 6: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

6

6- La bascule D :

La bascule RS nous oblige à gérer deux entrées S et R et il existe le cas ou ces deux entrées peuvent être égales. Pour remédier à ce problème on n’utilise qu’une seule entrée : D comme DATA (donnée en anglais) Réalisation :

D Q Q 0 0 1

1 1 0

& &

&

D Q

Q

Page 7: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

7

Dans ce cas de figure il n’y a plus que deux états et on perd la mémorisation

7- La bascule DH (détection sur front montant - flip flop): Réalisation : Table de vérité :

Chronogramme :

8- La bascule DH à verrouillage (détection sur niveau - latch) : Réalisation :

R

S Q

Q

H

& D

R

S Q

Q

C

& D

Page 8: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

8

Table de vérité :

Chronogramme :

La sortie Q, recopie la valeur de la donnée D, ici lorsque C est à 1. Lorsque C est à 0,

la valeur en Q est mémorisée, la bascule est verrouillée. 9- La bascule JKH :

JK pourquoi :

Le problème des bascules RS reste le cas ou S=R=1 si on ne modifie pas la structure. Une solution consiste à exploiter les sorties de la bascule RS en les réinjectant sur les entrées.

Les repères J et K viennent du fait qu’a un moment de l’étude les entrées seront fixées à un potentiel et l’horloge serra la seule variable.

Réalisation :

&

&

&

&

J

K

Q

Q

H Détecteur de front

Page 9: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

9

Table de vérité :

Chronogramme :

Les états de J et K qui entraînent un changement de la sortie Q sur front montant :

K = 1, J = 0 : mise à zéro de Q K = 0, J = 1 : mise à un de Q K = J = 0 : mémorisation de Q K = J = 1 : diviseur par 2 " état bascule "

Remarque :

Si on laisse les entrées J=K=1 à chaque front montant de l’horloge la sortie change d’état. Nous avons crée un diviseur de fréquence par 2 ou modulo 2.

Page 10: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

10

C’est cette particularité qui est à l’origine de tous les compteurs.

10- La bascule JKH Maître Esclave : Non étudiée

II- LES COMPTEURS:

1- Introduction : La fonction qui s’impose pour dénombrer des événements numériques est le

compteur. Un compteur résulte de l’assemblage d’un ensemble combinatoire et séquentiel

(bascules JK le plus souvent) cadencé par un signal d’horloge H. La combinaison des n bits de sortie forme un mot binaire qui évolue en croissant ou décroissant au rythme de l’horloge.

2- Compteurs et MODULO :

Les compteurs binaires, constitué de n étages (bascules), voient leur sortie numérique évoluer de 0 à 2n-1, puis repasser à 0, soit un cycle de 2n états différents.

Si on souhaite obtenir un modulo N≠2n il faudra mettre en place une stratégie de

remise à zéro par une association de portes logiques. Les compteurs Modulo N évoluent de 0 à N-1, puis repassent à 0, soit un cycle de

N valeurs différentes. Ils sont appelés ainsi car ils fournissent le reste de la division du nombre d'impulsions par N, soit un nombre forcément < N.

Exemple : - Compteur Modulo 10 comptant de 0 à 9, pour le comptage décimal. - Compteur Modulo 6 comptant de 0 à 5, pour le comptage horaire.

3- Les compteurs asynchrones :

Présentation :

La réalisation d’un compteur asynchrone (asynchronous counter) consiste à mettre en cascade des bascules (assurant la fonction de diviseur par deux), détecter la combinaison de remise à zéro puis l’appliquer aux entrées de remise à zéro de chaque bascule.

Cette méthode n’assure pas un fonctionnement « fluide » du système : la rupture du

séquencement montre le comportement asynchrone qui génère des aléas (défauts de fonctionnement).

Réalisation :

Page 11: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

11

On peut utiliser la sortie complémentaire à Q comme horloge de la bascule suivante dans

ce cas il ne faut pas inverser la Clock.

20=1QB QC

1

1

1

1

1

1

CLK1J

1K

C1

S

R

1J

1K

C1

S

R

1J

1K

C1

S

R

QAQBQC

= N

Fonction logique combinatoire

0 0 0

DCy

= 61

&

21=2 22=4

Le compteur page précédente est réalisé au moyen de deux bascules JK dont les

entrées J et K sont fixés au potentiel logique 1. A chaque front descendant de l’horloge la bascule change d’état. On parle de

compteur asynchrone car la sortie Q d’une bascule devient l’horloge de la suivante. Chronogrammes :

Remarque importante : Une bascule JK est en fait l’association de plusieurs portes logiques (4 au minimum) De plus les sorties sont réinjectées sur les portes d’entrées, on introduit donc n retard qui s’ accumule à travers chaque bascule. Il y a donc une limite en fréquence pour le fonctionnement de ce type de compteur.

Page 12: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

12

4- Les compteurs synchrones : Présentation :

Le comptage synchrone, utilise le signal de référence (l’horloge) pour éviter le problème d’aléas liés au décodage de la combinaison de remise à zéro. Ceci implique que le système évolue naturellement vers sa combinaison de bouclage. Pour cela, les entrées J et K des bascules sont pilotées par un ensemble combinatoire. L’entrée reset des bascules n’est plus nécessaire.

Réalisation :

QA QB

CLK

1J

1K

C1

1

QC1J

1K

C1

1J

1K

C1

QA

QB &

QD1J

1K

C1

QA.QB

QC &

5- Compteurs partculiers :

Compteur de M à N :

Ces types de compteurs, rencontrés dans des utilisations très spécifiques, sont très peu fabriqués. Ils peuvent cependant être facilement conçus à partir de compteurs standards et d’une logique de modification de cycle.

Compteur décompteur : Certains composants proposent les deux fonctions comptage et décomptage, le

mode de fonctionnement est sélectionné par une entrée logique dédiée. Le décomptage est aussi binaire ou Modulo N.

Compteur prépositionnable :

Certains compteurs disposent d'entrées permettant de charger le compteur avec une valeur. Ce sont les entrées de chargement ou pré positionnement, le plus souvent asynchrones.

6- Mise en cascade de compteurs intégrés :

L'association de compteurs en cascade est utilisée dans le but de réaliser des compteurs sur des cycles plus longs. Le mode d'association se déduit directement du mode de fonctionnement interne de chaque compteur.

Cascade synchrone : L'association des compteurs asynchrones sera elle-même asynchrone. C'est à dire

que la sortie de poids le plus fort d'un compteur asynchrone sera connectée au signal d'horloge du compteur suivant.

Page 13: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

13

Cascade asynchrone : L'association des compteurs synchrones sera elle-même synchrone. Le signal

d'horloge est unique et commun à tous les compteurs. Un compteur devra changer d'état lorsque le précédent sera en fin de cycle. C'est pourquoi tous les compteurs synchrones disposent d'un signal de sortie actif lorsque le compteur est justement en fin de son cycle. Ce signal sera donc connecté sur une entrée d’autorisation de comptage du compteur suivant.

Il est aussi possible de réaliser une cascade asynchrone à partir de compteurs synchrones. Mais ce montage perd alors l’intérêt propre au mode fonctionnement des compteurs synchrones: on retrouve le retard de propagation et des valeurs incohérentes en sortie pour le travail en hautes fréquences.

7- Choix du type de compteur:

Type de compteur Utilisation Asynchrone Synchrone

Basse

fréquence

Comptage

Division de fréquence

Comptage

Division de fréquence

Haute

fréquence

Division de fréquence

Comptage

Division de fréquence

8- Etude de documentation technique:

Analyse des compteurs de type 190 et 191 : La référence 190 correspond à un compteur/décompteur par 10 tandis que le 191 est

modulo 16. Mis à part ces différences, les fonctionnements sont identiques. C’est la raison pour laquelle nous ne nous attacherons qu’au 190.

- Les entrées : Clock est l’entrée d’horloge. Cette dernière synchronise le compteur sur ses fronts montants. Le système peut compter ou décompter suivant l’état de D / U (Down/Up). Le fonctionnement du compteur peut être bloqué en plaçant l’entrée CTEN (CounT ENable) au niveau bas. Dans cette éventualité, les sorties du compteur sont figées. A, B, C et D sont les entrées de préchargement. La combinaison binaire de quatre bits devient l’état interne du compteur (et apparaît donc aussi en sortie) dès que l’entrée LOAD (To load = charger) passe à 0. Il s’agit donc d’une opération de préchargement asynchrone.

Page 14: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

14

- Les sorties : MAX/MIN reste à 1 pendant que la combinaison en sortie est 1001 (= 9) en mode comptage et 0000 en mode décomptage. Elle permet d’indiquer un débordement prochain du compteur. RCO (Ripple Clock Output) permet de synchroniser le fonctionnement d’un autre compteur réaliser une mise en cascade. Reliée à l’entrée CTEN d’un boîtier suivant, ce dernier serait bloqué durant toute la phase de comptage du premier compteur (unités par exemple) car RCO est à 1. Au moment où le compteur des unités va boucler « un tour », RCO se positionne à 0, validant ainsi l’étage suivant et permettant donc la prise en compte du front d’horloge pour s’incrémenter (d’une dizaine par exemple).

- Modulos différents de 10 :

Pour réaliser un compteur bouclant avant 9, on décode le modulo (grâce à une fonction ET). Le résultat, synchronisé avec l’horloge, assure un niveau 0 pour le chargement de la combinaison 0000 préalablement fixée sur ABCD.

Symbole IEC:

Le symbole est formé de deux blocs essentiels. Le cadre de contrôle ou de commande (en

haut) caracatérisé par les deux échancrures. Il regroupe toutes les indications concernant l’ensemble de la fonction. Dans sa partie supérieure, on remarque la fonction réalisée (compteur diviseur par 10 ou 16). Le cadre du bas est subdivisé en quatre parties à l’image des quatre bits de données. Les numéros de broche sont toujours indiqués entre parenthèses. Toutes les indications à l’intérieur des cadres sont normalisées, c’est à dire invariantes et immuables. Ce qui est à l’extérieur est la prérogative de l’utilisateur (mais il est conseillé de conserver les indications données par le constructeur).

On retrouve les notations classiques de la norme IEC : les numéros repères qui renvoient aux

broches (à ne pas confondre avec le numéro des broches), les notations de dépendance des broches (G pour une entrée d’opérateur ET, Z pour une liaison interne directe), M pour les modes de

Page 15: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

15

fonctionnement, C pour un contrôle et D pour une fonction mémoire (entrée de bascule D). Les annotations entre crochets sont indicatives (ici ce sont les poids binaires des entrées et sorties).

On peut dire que ce compteur agit sur quatre groupes de 1 bit. Les états des entrées A, B, C et

D sont mémorisées si la broche correspondant au repère 5 est active, c’est à dire une demande de préchargement par un état 0 sur la broche LOAD (on remarque bien qu’un contrôle est assuré par cette entrée). La notation 5D n’est indiquée que dans la première case et doit être dupliquée dans celles du dessous.

Deux modes (lettre M) de fonctionnement sont possibles. La broche D / U assure les modes

comptage ou décomptage : les repères 2 et 3 renvoient à l’effet de l’horloge (signes + et -). D’ailleurs, des indications entre crochets finissent de nous renseigner quant à l’effet observé. On remarque aussi que l’horloge est active sur front montant (> sans complémentation) et que, sur niveau bas, par le repère 4, elle participe à l’élaboration du signal RCO (on peut dire que celui-ci est issu du produit logique de CLK, CTEN et MAX/MIN).

L’effet de l’horloge H est autorisé par la broche CTEN puisque ces entrées sont liées par un

ET (lettre G, repère 1). On voit aussi très bien l’incidence du mode de fonctionnement (repères 2 et 3).

La sortie MAX/MIN est issue d’un OU câblé entre les états 0 (dans le sens du décomptage, donc mode 2) et 9 (ou 15, dans le sens du comptage, donc mode 3) du compteur.

Page 16: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

16

Chronogrammes:

Page 17: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

17

9- Applications :

Compteur/diviseur binaire 7 étages Attention ! Le front actif descendant (b1) n’a d’effet QUE entre la patte 1 et la

valeur du contenu. C’est bien un compteur (+) donc augmentation de 1 de la valeur du compteur. Décompteur = ( - ).

4024CTR 7

6

9

11

12

2

1

CT=0

+

CT

0

3

4

5

6

VSS: 8 VDD: 16

Double compteur Modulo 10 Déterminer les connexions nécessaires afin mettre en oeuvre une cascade

asynchrone pour un comptage sur front montant et sur deux décades (0 à 99).

4518CTR DIV 10

≥1

3 6

5

4

3

7

2

1

CT=0

+

CT

0

CTR DIV 10

≥1

3 14

13

12

11

15

10

9

CT=0

+

CT

0

VSS: 8 VDD: 16

b 1 1

C T

b 6

b 5

b 4

b 3

b 1

C T(déc .)

b6

b9

b11

b12

b1

Page 18: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

18

Compteur/décompteur binaire/décimal synchrone avec prépositionnement : Déterminer les connexions nécessaires afin mettre en oeuvre une cascade synchrone

pour un comptage sur deux décades (0 à 99). « U » Unités « D » Dizaines

4029CTR DIV m

2

5

10

1

15

5M1

M4[m=10]

M3[m=16]

5M2

G5

C61+ / 2-

7

14

11

6

12

4 6D

9

3

13

1,4CT=9 /1,3CT=15 /

2CT=0

VSS: 8 VDD: 16

4029CTR DIV m

2

5

10

1

15

5M1

M4[m=10]

M3[m=16]

5M2

G5

C61+ / 2-

7

14

11

6

12

4 6D

9

3

13

1,4CT=9 /1,3CT=15 /

2CT=0

VSS: 8 VDD: 16

Page 19: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

19

b 7

N

b 2

b 1 4

b 1 1

b 6

b 1 5

« U »

b 1 1

b 6

b 1 5

« D »

III- LES REGISTRES:

1- Fonctionnement :

Un registre sert à mémoriser un mot ou nombre binaire. Le schéma d'un tel système comporte autant de bascules type D que d'éléments binaires a mémoriser. Toutes les bascules sont commandées par le même signal d'horloge.

Moyennant une interconnexion entre les cellules, le registre précèdent devient capable

d'opérer une translation des chiffres du nombre initialement stocké. Le déplacement s'effectue soit vers la droite soit vers la gauche. Le registre est alors appelé registre a décalage. De nombreuses applications résultent de cette possibilité de décalage :

. conversion série - parallèle d'une information numérique, et vice et versa

. division et multiplication par une puissance de 2,

. la ligne a retard.

Page 20: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

20

2- Exemples :

Page 21: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

21

3- Applications particulières :

Les Multiplication / Division : La fonction décalage par insertion de 0 peut être utilisée pour réaliser les multiplications ou des divisions par 2.

4- Conversion série parallèle :

L’utilisation principale des registres à décalage est la conversion série/parallèle ou parallèle/série. Effectivement une information est représentée sous forme numérique par un nombre de n bits qui sont traités simultanément (en parallèle) dans un équipement. Par contre on ne peut pas transmettre ces n bits en même temps sur une seule ligne. Il seront donc transmis les uns à la suite des autres en série.

La conversion série parallèle est facile à réaliser. Pour un mot de n bits il faudra n coup d’horloge pour lire le mot en parallèle en sortie de n bascules.

Pour la conversion série/parallèle, il faudra au contraire effectuer un chargement en

parallèle du mot dans les bascules. On décale ensuite progressivement les éléments binaires à l’intérieur du registre pour lire le mot en série à la sortie de la dernière bascule.

Page 22: ELECTRONIQUE NUMERIQUE Logique séquentiellekarlaoui.free.fr/Site Epmi/Electronique_numérique/Cours/4.Logique... · 3- La bascule RS par état d’horloge (RSH) : ... 10- La bascule

ELECTRONIQUE NUMERIQUE Logique séquentielle

EPMI Cergy 1AING

Logique séquentielle.doc gdedel.webhop.net [email protected]

22

Analyse du fonctionnement : Si Validation =1 J0=a3 J1=a2 J2=a1 J3=a0 K0=/a3 K1=/a2 K2=/a1 K3=/a0

D’où Q0=a3 Q1=a2 Q2=a1 Q3=a0

On obtient un chargement en parallèle. Si Validation =0 J0=0 J1=Q0(n) J2= Q1(n) J3= Q2(n) K0=1 K1=/Q0(n) k2= /Q1(n) K3=/Q2(n) D’où Q0(n+1)=0 Q1(n+1)= Q0(n) Q2(n+1)= Q1(n) Q3(n+1)= Q2(n) On a un décalage vers la droite avec introduction d’un 0 à gauche. La sortie Q3 contient maintenant le

bit suivant du mot parallèle.