cadence allegro 16.3 pcb design - national chiao …8...

64
1 Cadence Allegro 16.3 PCB Design Version 1.0 – Jhong-Ting Chieng @ Apr/17, 2012 1) 無中生有 Version 1.1 – Adley Yang @ Jul/08, 2012 1) 增加 Grid 設定 2) 增加 Out of date error 解決之方法 Version 1.2 – Chien-Hung Chen @ Jul/11, 2012 1) 校閱 Version 1.1 Version 2.0 – Shih-Hao Huang @ Jul/31, 2014 1) 文件重新排版並校閱 2) 增加目錄 3) 增加 Allegro PCB 設計之流程 4) 增加 PCB 板廠之聯絡資訊、打現廠資訊、打線規範

Upload: others

Post on 23-Mar-2020

52 views

Category:

Documents


2 download

TRANSCRIPT

  • 1

    Cadence Allegro 16.3 PCB Design

    Version 1.0 – Jhong-Ting Chieng @ Apr/17, 2012

    1) 無中生有

    Version 1.1 – Adley Yang @ Jul/08, 2012

    1) 增加 Grid 設定 2) 增加 Out of date error 解決之方法

    Version 1.2 – Chien-Hung Chen @ Jul/11, 2012

    1) 校閱 Version 1.1

    Version 2.0 – Shih-Hao Huang @ Jul/31, 2014

    1) 文件重新排版並校閱 2) 增加目錄 3) 增加 Allegro PCB 設計之流程 4) 增加 PCB 板廠之聯絡資訊、打現廠資訊、打線規範

  • 2

    Contents

    A. PCB Design Flow by using Cadence Allegro …………………………Page # 3

    B. Draw Capture Schematic ……………………….…………………………. Page # 4

    C. Pre-Allegro PCB Layout …………………………………………………… Page #16 設定 Allegro User Preference

    設定 Design Rule

    設定板層與各層參數

    設定 Gerber 底片

    D. Footprint Design ….……………………………………………………………………… Page #27

    E. Allegro PCB Layout ……………………………………………………………………… Page #34 引入 netlist 檔案

    PCB trace 接線

    檢查 DRC

    鋪 GND/VCC這兩內層

    鋪 TOP/BOTTOM 兩外層

    產生鑽孔圖

    產生 Gerber 底片檔

    利用 CAM350檢查底片.art是否正確 (optional)

    送給 PCB 板廠製作

    F. Appendix ……………………………………………………………………………………… Page #58 Issue 1:如何不要對齊 Grid

    Issue 2:Out of date shapes

    PCB 板廠聯絡資訊

    Chip-on-Board (COB) 打線廠聯絡資訊

    宜特科技 Chip-on-Board (COB) 金線打線規格

  • 3

    PCB Design流程圖

  • 4

    製作 PCB Schematic 電路圖

    � 開啟 OrCAD Capture

    � 選取套件

  • 5

    � 開啟新專案

    � 指定專案名稱與存放位址

  • 6

    � 加入新元件庫(Library)

  • 7

    � 加入新元件:指定元件名稱、開頭文字(Prefix)、對應 Footprint 名稱

  • 8

    � 指定元件電路符號(Symbol)並儲存(自行指定儲存路徑)

    腳位腳位腳位腳位

    線線線線

    多邊形多邊形多邊形多邊形

    註解註解註解註解

    方形方形方形方形

    橢圓橢圓橢圓橢圓

  • 9

    � 如果在呼叫 Library 中的 Part 後有再做更改則需 Update Cache

    以下是 Update Cache 方式,在有更改到的 Part 上按右鍵 => Update Cache

    � 依需求自行在 Library 中按照以上步驟新增 Part 並完成和您晶片量測要求

    之 Schematic 電路圖。

    注意:PCB 電路電路電路電路板板板板可可可可設設設設計計計計成成成成 AC 板板板板及及及及 DC 板板板板,,,,兩兩兩兩塊塊塊塊板板板板子子子子。因晶片需先送給打線

    廠 (EX:宜特、長瑩電子) 黏在 PCB 並打線後才可以焊接上件,所以如果將晶片

    與全部的元件都做在同一片板子上,就必須等打線廠打好線才能焊元件,若可以

    將打線板子與焊接板子分開,則可減少量測時間。另外原因是:量測時如果晶片

    因故損毀,這樣全部的 PCB 板上元件都得重焊,而焊接非常耗費時間,若可只更

    換容易損壞的小塊 PCB 板,大部分元件不用重新焊接,如此可減少量測所需時

    間。所以常將 PCB 分成兩塊板子,一塊處理晶片高速運作 data path 的 AC 板,

    其大約只放置 bypass 電容及 AC 連接接頭,盡量減少須焊接的元件,以防止晶

    片因焊接而損傷;另一塊板子則用來產生所需的偏壓電壓、處理電源供應器之連

    接,再將兩塊板子利用徘針疊接,像是 LDO、穩壓電容 … 等。

    注意:焊接焊接焊接焊接可可可可以以以以從從從從不不不不容容容容易易易易焊接焊接焊接焊接的的的的地方地方地方地方開開開開始始始始 (EX: 您您您您的的的的打打打打線線線線晶片晶片晶片晶片)。一旦稍有失誤,

    造成晶片損毀可以立即更換新的,以減少焊接或量測時間。

  • 10

    EX:TI 的 LDO - TPS74401KTWR 接法

    EX:排針與晶片接法

  • 11

    � 完成 schematics 後,確認是否連接錯誤,工具列上有數個工具可以使用

    :Annotate (按下後所以元件排序號碼會重新排列)

    :Design Rule Check (檢查 DRC)

    :Create Netlist (產生.net 檔案供 Allegro 使用)

    Annotate::::

  • 12

    DRC::::需先設定要檢查的項目,分別有

    Design Rules Options

    Electrical Rules

    Physical Rules

    共三分頁需要設定,設定項目如下圖

  • 13

  • 14

    設定完成後按下確定,檢查.DRC 檔案中是否有 Warning 或是 Error 並修正至無

    Error 且 Warning 確定可接受或無 Warning

  • 15

    Create Netlist::::

    (註註註註::::新版本的新版本的新版本的新版本的 OrCAD 已經沒有已經沒有已經沒有已經沒有 Allegro.dll,,,,取而代之的是取而代之的是取而代之的是取而代之的是 orTelesis.dll。。。。這兩個這兩個這兩個這兩個

    檔案轉出來的檔案轉出來的檔案轉出來的檔案轉出來的 netlist 是長一樣的是長一樣的是長一樣的是長一樣的,,,,因此可以不用刻意去找舊版的因此可以不用刻意去找舊版的因此可以不用刻意去找舊版的因此可以不用刻意去找舊版的 Allegro.dll 來用來用來用來用。。。。

    Added by Adley 2012.07.02)

    檢查完 schematic 的 DRC 並確認無誤後,將 Allegro.dll 檔案放到

    C:\Cadence\SPB_16.3\tools\capture\netforms\下,並點選 (Create netlist)

    點選 Other 分頁,在 Formatters 視窗選擇 allegro.dll,並將 View Output 打勾,且

    指定.NET 檔案產生路徑,最後按下確定,完成後會產生一份 netlist 檔案

    (注意 Part Value 跟 PCB Footprint 欄位裡的符號不能省略,要和圖片一樣)

    (新創元件注意.txt 格式)

  • 16

    Pre-Allegro PCB Layout

    設定各項參數

    � 設定設定設定設定 Allegro User Preference::::

  • 17

    一共有八項需要設定,分別是

    1. File_management>autosave>開啟 Autosave

    2. File_management>autosave>設定 autosave time 為 15 秒

    3. File_management>autosave>關閉 autosave_dbcheck

    4. Shapes>voiding>av_capstyle 設為 round

    5. Display>Highlight>開啟 nohilitefont

    6. Display>Visual>開啟 drcfill

    7. Paths>Config>Clippath>新增放.dra .psm .txt .pad…等的資料夾(lib 資料夾)

    8. Paths> Library>Devpath、Padpath、Psmpath>新增放.dra .psm .txt .pad…等的資

    料夾(lib 資料夾)

    � 設定設定設定設定 Design Rule::::

    產生完 Allegro 需要的 Net 檔案後,在使用 Net 檔案之前,先設定 PCB 板的各項

    設定,包含各層底片的設定,PCB 板廠容許的 Design Rule 像是最小線寬與間距,

    與 User Preference,以磊固四層板為例,保險且建議線寬與間距為 6 mils *,如

    果不想自己重新設定,可複製 Template.brd 再修改即可

    *:如果是硬板電路板,磊固公司的 design rule 間距可以設定到 4 mils、軟板則

    為 6 mils,不過失誤率會高。所以,間距設計 6 mils 以下,會建議額外做 “電

    性測試” 以確保完成品之無誤,意味著 ”買保險” 的意思。

  • 18

    Physical:(VIA 選擇 VIA22)

    Spacing:

    Same Net Spacing:

  • 19

    � 設定幾層板設定幾層板設定幾層板設定幾層板與各層與各層與各層與各層參數參數參數參數::::

    以四層板為例

    TOP 和 VCC 是 Conductor

    GND 和 VCC 是 Plane

  • 20

    � 設定設定設定設定 Gerber 底片底片底片底片參數參數參數參數::::

    在 Film Control 分頁中,共有 11 層 Layer 需要設定,而其中各層的 Plot Mode 除

    了 GND、VCC 為 Positive 外,其餘皆為 Negative,各層設定如下 11 張圖,如果有

    缺少 Layer,可以右鍵>add 新增 Layer,以下有各個 Layer 的設定方式,其中各 Layer

    請用英文小寫,如果原本有內建,可以刪除再重新建立,其中因 GND 與 VCC 層

    為 plane,所以必須為負片輸出,其餘正片輸出,其餘各項設定如圖

  • 21

    bottom:

    drill:

  • 22

    gnd:

    pastemask_bottom:

  • 23

    pastemask_top:

    silkscreen_bottom:

  • 24

    silkscreen_top:

    soldermask_bottom:

  • 25

    soldermask_top:

    top:

  • 26

    vcc:

  • 27

    Design Footprint

    建立建立建立建立 Footprint 方法方法方法方法::::

    新開或是複製一份.dra 檔案並修改

    以晶片打線需要地 Footprint 為例的

    可從工具列中點選 Add Pin

    再從 Option 中設定想要的 Padstack 與相關擺放方式

    以下圖為例,代表使用 SMD 80milx6mil 的 PAD,選轉 90 度,並在 X 方向一次擺

    放 6 個 pin 腳,每個 Pin 腳的中心距離為 24mil,方向向右,Pin 的號碼從 1 號開

    始,每次增加 1 號

    依序擺放完成後如下圖

  • 28

    擺放完成後再加上一些設定層

    以此例來說,放了

    Package Geometry > Place_Bound_Top 代表區域內不能有零件

    Manufacturing > No_Probe_Top 代表區域內不能有 TOP 的測試點

    Package Geometry >Silkscreen_top 代表會出現的圖案或文字

    分解圖如下,最右邊是 Silkscreen_top,選擇不要填滿,只有外圍,也就是最後

    板子上會顯示的樣子

  • 29

    最後再加上三層 TEXT,材質與對應文字分別是

    Device_Type > Silkscreen_top 打上 FOOTPRINT 名稱

    Ref Des > Display_Top 打上 Prefix 加”*”在中心點,以電阻來說就是 R*,依此類推

    Ref Des > Silkscreen_top 打上 Prefix 加”*”元件區域外

    (圖由上而下分別對應上面三種材質)

    (完成圖)

    完成後 File>Save 可以儲存.dra 檔案

    然後 File>Create Symbol 創立.psm 檔

    最後自己創一份.txt 文字檔在 lib 資料夾,格式如下(可以打開 lib 中.txt 參考)

    所以,一個元件必須要有三個檔案,.dra & .psm & .txt

    (注意 Packageprop value 後方文字 ’XXX’ ,XXX 前後的 ’ ’要記得打上)

  • 30

    檔案意義檔案意義檔案意義檔案意義::::

    1. Pack symbol:元件的封裝符號 *.psm

    2. Mechanical symbol:由板外框及螺絲孔所組成的機構符號 *.bsm

    3. Format symbol:由圖框和說明所組成的元件符號 *.osm

    4. Shape symbol:供建立特殊形狀的焊盤用 *.ssm

    5. Flash symbol:焊盤連接銅皮導通符號 *.fsm

    Symbol 用用用用層層層層功能對應表功能對應表功能對應表功能對應表::::

    Class - SubClass

    Package Geometry - Silkscreen_top (文字層,此層不可以有 PAD)

    Package Geometry - Soldermask_top (防焊層)

    Package Geometry - Dimension (標註尺寸)

    Package Geometry - Footprint (封裝名稱)

    Package Geometry - Pad (PAD 名稱)

    Package Geometry - Hight (零件高度)

    Package Geometry - Place_bound_top (禁放零件區,需設零件高度)

    Maufacturing - No_probe_top (禁止探針探入區域)

    Maufacturing - No_probe_bot (禁止加測試點區域,一般用於晶片類零件)

    Maufacturing - No_place_bot (禁放背面放零件區,用於 DIP 零件,

    SMD 零件不需此層,畫在 PAD 的外緣再加 3mm)

    Maufacturing - Shape problems (在橢圓 PAD 上用箭頭標注出橢圓孔的尺寸

    且需備註 PAD 是 PTH 或 NPTH,ex:0.75X3.2mm(PTH),

    一般將數字小的放在前面)

    Ref Des - Assembly_top (組裝層文字層)

    Ref Des - Silkscreen_top (文字層文字層)

    Component Value - Silkscreen_top (Value)

    Component Value - Assembly_top (零件組裝層)

    Via Keepout - top (禁止打 VIA 區域,用於 SMD PAD,畫在 PAD 的外緣再加 3mil,

    對 SMD 零件來說 VIA Keepout 應加在 TOP:對 DIP 來說則加在

    VIA Keepout all)

    Device Type - Silkscreen_top (封裝名稱)

    Route - Keepout_top (禁止走線區域)

  • 31

    � 建立建立建立建立圓形圓形圓形圓形 PAD Stack 方法方法方法方法::::

    以四層板圓形 PAD - C157D118 為例

    從 PCB Editor Utilities 開啟 Pad Designer 程式

  • 32

    在 Parameters 分頁輸入紅色框框內資料,

    單位選擇 Mils

    Decimal places 代表小數第幾位,鍵入 0

    Multiple drill 的 Enable 不要勾選,代表只能允許一個鑽孔

    Hole type 選擇 Circle Drill 代表圓形鑽孔

    Plating 選擇 Plated 代表內緣焊錫

    Drill diameter 輸入鑽孔孔徑,此例為 118mils(C157D118)

    Figure 選 Triangle

    Characters 鍵入 l

    Width 鍵入 118

    Height 鍵入 118

    在 Layers 分頁輸入紅色框框內資料,順序與紅色框框相同,按右鍵>Insert 可以

    新增 Layer,以四層板為例,順序為 TOP>GND>VCC>DEFAULT INTERNAL>BOTTOM

    Regular Pad 欄位皆輸入 PAD 孔徑,此例為 157mils(C157D118),Thermal Relief 與

    Anti Pad 皆輸入 PAD 孔徑+40mils,此例為 157+40=197mils,Soldermask TOP/BOT 選

    Circle 且輸入 PAD 孔徑+6mils,此例為 157+6=163mils,其餘層 Regular Pad 皆為

    Null,Thermal Relief 和 Anti Pad 皆為 N/A

    完成後點選左上角 File>Save as 存檔

  • 33

    � 建立建立建立建立 SMD PAD 方法方法方法方法::::

    以四層板 SMD PAD – SMD80_6 為例

    完成後點選左上角 File>Save as 存檔

  • 34

    Allegro PCB Layout

    一一一一. 引入步驟引入步驟引入步驟引入步驟 1 中產生的中產生的中產生的中產生的.NET 檔案檔案檔案檔案並完成連線並完成連線並完成連線並完成連線

  • 35

    Import 完成後再點選

    開啟 Quickplace 視窗後按下 Place,元件會出現在 Layout Window 中

  • 36

    最後再將元件擺放至適當位置並完成 PCB trace 連結

    注意:連線要用 Connect (F3) 指令,不是 Add line 指令

  • 37

    二二二二. 連線完成後檢查連線完成後檢查連線完成後檢查連線完成後檢查 DRC 是否有錯誤是否有錯誤是否有錯誤是否有錯誤

    檢查完 DRC 後可在點選 Reports

  • 38

    在 Available Reports 視窗中選擇 Dangling Lines Report & Unplaced Components

    report & Unconnected Pins Report 最後按下 Report,可以在檢查有沒有錯誤,其

    中 Dangling Lines 代表未清楚定義連結的線,在連線時從 A pin 接到 B pin,如果

    正確連接,連結完成時線就會消失,如果不正確連結,滑鼠點完 A pin 跟 B pin

    時,會發現線還沒有要消失的意思,會繼續在螢幕上動來動去;Unplaced

    Components 代表還沒放置完的元件,應為 0;Unconnected pins 代表各個 Package

    沒有連線的腳位,對應 schematic 正確即可,VDD 跟 GND 可以暫時忽略,因為會

    在後面鋪 Shape 的動作被連結。

    (Antenna VIA 可忽略,或在 Setup>User preference>search “antenna” 可勾選

    report_nonantenna 選項就不會檢查)

  • 39

    (GND VDD 可以先忽略,鋪 Shape 時會自動被 Shape 連結)

  • 40

    三三三三. 確認無誤後確認無誤後確認無誤後確認無誤後,,,,開始鋪開始鋪開始鋪開始鋪 GND/VCC 這兩層的這兩層的這兩層的這兩層的 Shape,,,,以以以以 GND 為例為例為例為例

    1. 在 Board 上畫上 Route Keepin(範圍從 ouline 內縮 20mil),被圈起來的區域代

    表可走線的範圍

    2. 用 Anti Etch/GND 寬度 20mils 的線(是 Add line,不是 Connect)將 GND 劃分

    成想要的區塊

    (深藍色線為 Anti Etch/GND)

    3. 選擇材質 Etch/GND,按下 Edit>Split plane>Create>Dynamic

  • 41

    4. 接著指定各個 Plane 的節點名稱

    VCC 也依上面 1~4 的步驟完成分割平面

  • 42

    四四四四. 鋪完鋪完鋪完鋪完 GND/VCC 這兩層的這兩層的這兩層的這兩層的 Shape 後後後後,,,,再鋪再鋪再鋪再鋪 TOP/BOTTOM 的的的的 Shape

    ( 以 TOP 為例 )

    1. Edit>Z-Copy

    2. 在 Option 的地方選擇 Etch/TOP,在 Create dynamic shape 上打勾,Voids 打

    勾,點選 Contract,Offset 輸入 20,最後在 Outline 上點滑鼠左鍵

  • 43

    (完成圖)

    完成後在工具列點選 並選擇剛鋪好的 TOP shape,並在右方 Option 處指定

    Net name (指定為想指定的節點)

  • 44

    如果鋪完之後有因為 shape 造成的 DRC 的錯誤,可以用 Shape>Manual Void 裡的

    各項圖形改變 shape 形狀修正 DRC,Void 圈到的地方就不會補 shape

    最後可以整理一下 SIKSCREEN 的字面,也就是最後會印在 PCB 上給我們辨識的白

    色文字,可以用 Move(Shift+F6),在 Find 視窗中按 All off 後勾選 Text 然後在 Option

    視窗中 Rotation type 選 Incremental,Angle 選擇 90,Point 選擇 Sym Origin,接著

    點選想排整齊的文字,注意文字不能太靠近 Pin 和 Via,至少保持 10mils 的距離,

    也不能放在零件的正下方以免被蓋住,方向應保持一致,最多可以有兩種方向

  • 45

    五五五五. 產生鑽孔圖產生鑽孔圖產生鑽孔圖產生鑽孔圖

    Manufacture>NC>Drill Legend

    設定完成後按下 OK

  • 46

    完成圖如上,確認 FIGURE 欄是否有出現正確的 Drill Symbol、Drill Size 是否正確、

    Drill 是否是 PLATED PAD、數量(QTY)是否正確

    完成後會產生 nc_param.txt

  • 47

    產生.drl 檔案

  • 48

    六六六六. 確認無誤後確認無誤後確認無誤後確認無誤後,,,,開始將檔案轉成製作所需的底片檔開始將檔案轉成製作所需的底片檔開始將檔案轉成製作所需的底片檔開始將檔案轉成製作所需的底片檔

    首先設定底片選項

    各項設定如下

    設定完成後按下 OK,會產生一個 art_param.txt

  • 49

    完成後再度開啟 Artwork 並按下 Aperture

    出現 Edit Aperture Wheels 視窗後按下 Edit(沒出現相關選項可用 Add 新增)

  • 50

    出現 Edit Aperture Stations 視窗後按下 Auto

    選擇 Without Rotation

  • 51

    按完後會出現全部的 aperture,此時再按下 Sort 並選擇 By Station

    完成後會產生 art_aper.txt 檔案

  • 52

    接著轉出底片

    完成後會產生所選的.art 檔案

    � 需要交給工廠的檔案列表如下(四層板共 15 份檔案,不用上件的話不用

    pastemask_top/bottom)

    1. nc_param.txt

    2. nc_drill.drl (從 project_name.drl 自行改名)

    3. art_param.txt

    4. art_aper.txt

    5. top.art

    6. bottom.art

    7. gnd.art

    8. vcc.art

    9. silkscreen_top.art

    10. silkscreen_bottom.art

    11. soldermask_top.art

    12. soldermask_bottom.art

    13. pastemask_top

    14. pastemask_bottom

  • 53

    七七七七. 最後最後最後最後可以用可以用可以用可以用 CAM350 這套軟體檢查底片這套軟體檢查底片這套軟體檢查底片這套軟體檢查底片(.art 是否正確是否正確是否正確是否正確)

    Example:

    收集上列的各底片檔至一資料夾內

    開啟 CAM350

  • 54

    Import 底片檔

    選擇第一步中的資料夾位置

  • 55

    選好後按下 Finish(完成圖如下)

    左手邊點滑鼠左鍵兩下可以只看想看那層的底片,可以逐層檢查是否與 Allegro

    中畫面一致,以 silkscreen_top 為例,這層是正片輸出,左邊是底片檔,右邊是

    Allegro 的 Silkscreen_top,可看出兩層一致

  • 56

    而 GND 和 VCC 因為是負片輸出,所以有顏色的地方剛好會相反,左邊的圖片中,

    黑色的地方是會有銅的,相反的,右手邊藍色的地方才是會有銅的

    最後逐層確認無誤後就可以把檔案交給工廠製作

  • 57

    八八八八. 交給工廠製作時需說明交給工廠製作時需說明交給工廠製作時需說明交給工廠製作時需說明

    材料:FR4

    層數:4

    PCB 數目:10 片或 15 片

    板厚:1.6 mm (0.8mm/1.0mm/1.2mm/1.6mm 四種厚度選一種)

    1.6mm 時 50ohm 線寬為 14 mils (其數值會隨著層厚、材質、線寬而不同)

    防焊層顏色:藍(紅/黃/綠/藍四種顏色選一種)

    設計人地址:

    設計人電話:

    設計人 Email:

    注意一:高頻電路板製作,特性阻抗每次 Gerber-Out 都需確認

    注意二:信件中可以附上您模擬完之特性阻抗供 PCB 板廠參考

    (2013/Jun 磊固公司提供)

    注意三:信件中可以附上您設計之各層參數供 PCB 板廠參考

    (2013/Jun 磊固公司提供)

  • 58

    Appendix

    � 如何不要對齊如何不要對齊如何不要對齊如何不要對齊 Grid???? (Added by Adley 2012.06.28)

    可以在上方快捷欄位上找到 Snap To grid 此按鈕,點選此按鈕即可切換是否要自

    動對齊 grid。

    或者在上面選單 Options>Preferences 中的 Grid Display 分頁裡可以做更改也可。

  • 59

    � Out of date shapes???? (Added by Adley 2012.07.08)

    在製作 Artwork 時,出現 out of date shapes 的問題,導致不能順利產生 Artwork

    檔。

  • 60

    利用 Dynamic shapes need

    updating 這按鈕可以觀看相關資

    訊。

    如果點選 Update to Smooth 仍無

    法解決,則利用 Tools→Quick

    Reports→Shape Dynamic State(如

    下圖左所示),此時會跳出一個 txt

    檔,利用此檔案的座標找到該 out

    of date shape,刪除或修正它即可

    解決此問題。

  • 61

    在此範例的情況是在建立 shape 的時候軟體誤判了一個地方,在奇怪的地方放了

    Boundary of Bottom(此 etch 是代表不要補 shape),因此我們可以在右邊的 Option

    裡找到 Boundary 的分類,然後選擇你的 layer(在此為紅色的 Bottom),將其刪除

    即可。

  • 62

    � PCB 板板板板廠廠廠廠聯絡聯絡聯絡聯絡資資資資訊訊訊訊

    ----

    磊固 (PCB 製作廠商)

    聯絡人 1: [email protected] (Ivory.Chang 張嘉慧)

    聯絡人 2: [email protected]

    235-85 台北縣中和市建八路 199 號 13 樓

    TEL: (02)82216904

    FAX: (02)22213896

    Website: http://www.LayGood.com.tw

  • 63

    � Chip-on-Board (COB) 打打打打線線線線廠廠廠廠聯絡聯絡聯絡聯絡資資資資訊訊訊訊

    ----

    宜特科技

    TEL: 03-5799-909 #8924, (學界專案負責人) Jessica Chen 陳珮鎵

    FAX: 03-5634-868

    其他問題可以連絡 TEL: 03-5799909 ext. 6870 ~ 6873 或 ext. 6862 楊先生

    Note:

    1) AC 打線要短, DC 線 don't care

    2) chip-on-board 的導電膠 (銀膠, 外觀銀色) 或非導電膠 (外觀藍色)

    3) 如要打線金線 (PCB 表層需做化金, 也就是要有 Au )

    4) 台積電的 PAD 通常都可以同時打鋁線或金線

    5) 一個打線圖的設定費 NT 2000, 金線一條 NT 5, ex: 10 塊同樣電路的 PCB, 每

    片要打 20 條金線, 費用是: 2000 + 5x20x10 = 3000 NT

    ----

    長瑩電子

    電話: 037-626627

    地址: 苗栗縣頭份鎮公北二路 120 巷 8 號

    Note:

    1) 以後打線要註明 PAD 開窗大小是否大於 65 um, 因為小於 65 um 的開窗,

    他們要換機台作打線, 所以價格會變成一片 200 NT, 而小於 5 片 PCB, 則計價

    為 5 片的打線費用 (Dec/13, 2011)

    2) 長瑩只打鋁線沒金線可打, 鋁線寬度 from 0.7 to 1.25 mil (May/11, 2012)

    ----

    佶品電子

    電話: 03-6588706

    地址: 新竹縣竹北市東興路一段 120 號

    Note: 慈雲路往竹北, 過橋後直走自強南路, 過光明六路右轉東興路一段 (第一

    個路口), 位置在一個檳榔攤隔壁, 招牌不明顯

  • 64

    � 宜特宜特宜特宜特科科科科技技技技 Chip-on-Board (COB) 金金金金線線線線打打打打線線線線規規規規格格格格