cm enum audiop2 3

Post on 05-Aug-2015

41 Views

Category:

Documents

1 Downloads

Preview:

Click to see full reader

TRANSCRIPT

Cours Electronique Numérique2012-2013

Audioprothèse 2eme AnnéeChristophe Adessi

christophe.adessi@univ-lyon1.fr

LPMCN

Universite Claude Bernard Lyon1

Electronique Numerique 2012-2013 – p. 1/18

Sommaire

I Chaîne d’amplification et de filtrage de l’audioprothèse

II Notions de traitement numérique du signal

III Algèbre combinatoire - circuits logiques

IV Logique s equentielle - applications

V Notions sur les composants

Electronique Numerique 2012-2013 – p. 2/18

IV - Logique séquentielle

IV.A - Introduction

Electronique Numerique 2012-2013 – p. 3/18

IV - Logique séquentielle

IV.A - IntroductionEn logique séquentielle, le résultat dépend des données à l’instant t mais égalementde l’état précédent des sorties.

Electronique Numerique 2012-2013 – p. 3/18

IV - Logique séquentielle

IV.A - IntroductionEn logique séquentielle, le résultat dépend des données à l’instant t mais égalementde l’état précédent des sorties.

Contrairement à la logique combinatoire, on introduit en plus la notion de mémoire(typiquement, la bascule).

Electronique Numerique 2012-2013 – p. 3/18

IV - Logique séquentielle

IV.A - IntroductionEn logique séquentielle, le résultat dépend des données à l’instant t mais égalementde l’état précédent des sorties.

Contrairement à la logique combinatoire, on introduit en plus la notion de mémoire(typiquement, la bascule).

L’état des sorties en logique séquentielle dépendra de l’ordre dans lequel ont étéappliquées les combinaisons d’entrées c.a.d. la séquence.

Electronique Numerique 2012-2013 – p. 3/18

IV - Logique séquentielle

IV.A - IntroductionEn logique séquentielle, le résultat dépend des données à l’instant t mais égalementde l’état précédent des sorties.

Contrairement à la logique combinatoire, on introduit en plus la notion de mémoire(typiquement, la bascule).

L’état des sorties en logique séquentielle dépendra de l’ordre dans lequel ont étéappliquées les combinaisons d’entrées c.a.d. la séquence.

On distingue 2 types de fonctionnement :

Fonctionnement asynchrone, pour lequel la sortie logique peut changer d’état àtout moment quand une ou plusieurs entrées changent.

Fonctionnement synchrone, ou le changement d’état est commandé par un signald’horloge.

Electronique Numerique 2012-2013 – p. 3/18

IV - Logique séquentielle

IV.A - IntroductionEn logique séquentielle, le résultat dépend des données à l’instant t mais égalementde l’état précédent des sorties.

Contrairement à la logique combinatoire, on introduit en plus la notion de mémoire(typiquement, la bascule).

L’état des sorties en logique séquentielle dépendra de l’ordre dans lequel ont étéappliquées les combinaisons d’entrées c.a.d. la séquence.

On distingue 2 types de fonctionnement :

Fonctionnement asynchrone, pour lequel la sortie logique peut changer d’état àtout moment quand une ou plusieurs entrées changent.

Fonctionnement synchrone, ou le changement d’état est commandé par un signald’horloge.

Nous nous intéresserons à 3 types de composants :

Les bascules ou montage bistable.

Les registres.

Les compteurs.

Electronique Numerique 2012-2013 – p. 3/18

IV - Logique séquentielle

IV.B - BasculesIV.B.1 - Bascules RS avec des portes NANDIV.B.1.a - Table de vérité

Electronique Numerique 2012-2013 – p. 4/18

IV - Logique séquentielle

IV.B - BasculesIV.B.1 - Bascules RS avec des portes NANDIV.B.1.a - Table de vérité

R

S

Q

Q’

Nous avons :

8

<

:

Q = Q′.R = Q′ + R

Q′ = Q.S = Q + S

Electronique Numerique 2012-2013 – p. 4/18

IV - Logique séquentielle

IV.B - BasculesIV.B.1 - Bascules RS avec des portes NANDIV.B.1.a - Table de vérité

R

S

Q

Q’

Nous avons :

8

<

:

Q = Q′.R = Q′ + R

Q′ = Q.S = Q + S

d’où,

R = 0 ⇒ Q = 1; S = 0 ⇒ Q′ = 1

R = 0 ⇒ Q = 1; S = 1 ⇒ Q′ = 0

S = 0 ⇒ Q′ = 1; R = 1 ⇒ Q = 0

Enfin, si R = 1 et S = 1⇒ Q = Q′.

Electronique Numerique 2012-2013 – p. 4/18

IV - Logique séquentielle

IV.B - BasculesIV.B.1 - Bascules RS avec des portes NANDIV.B.1.a - Table de vérité

R

S

Q

Q’

Nous avons :

8

<

:

Q = Q′.R = Q′ + R

Q′ = Q.S = Q + S

d’où,

R = 0 ⇒ Q = 1; S = 0 ⇒ Q′ = 1

R = 0 ⇒ Q = 1; S = 1 ⇒ Q′ = 0

S = 0 ⇒ Q′ = 1; R = 1 ⇒ Q = 0

Enfin, si R = 1 et S = 1⇒ Q = Q′.

R S Q Q′

0 0 1 1

0 1 1 0

1 0 0 1

1 1 Q Q

Electronique Numerique 2012-2013 – p. 4/18

IV - Logique séquentielle

IV.B - BasculesIV.B.1 - Bascules RS avec des portes NANDIV.B.1.a - Table de vérité

R

S

Q

Q’

Nous avons :

8

<

:

Q = Q′.R = Q′ + R

Q′ = Q.S = Q + S

d’où,

R = 0 ⇒ Q = 1; S = 0 ⇒ Q′ = 1

R = 0 ⇒ Q = 1; S = 1 ⇒ Q′ = 0

S = 0 ⇒ Q′ = 1; R = 1 ⇒ Q = 0

Enfin, si R = 1 et S = 1⇒ Q = Q′.

R S Q Q′

0 0 1 1

0 1 1 0

1 0 0 1

1 1 Q Q

Pour R = S = 1, les sorties sont complémentairesmais leur état reste inchangé.

Les sorties sont toujours complémentaires, hormispour la combinaison R = S = 0 (combinaisoninutilisée).

R correspond à "RESET" (mise à zéro) et S à "SET"(mise à 1).

Electronique Numerique 2012-2013 – p. 4/18

IV - Logique séquentielle

IV.B.1.b - Tableau de Karnaugh de Qn+1

t

Electronique Numerique 2012-2013 – p. 5/18

IV - Logique séquentielle

IV.B.1.b - Tableau de Karnaugh de Qn+1

"L’état de repos" correspond à R = S = 1. Cela confère la fonction mèmoire à la basculeRS.

On note Qn l’état de la sortieà l’instant tn et Qn+1 l’état àl’instant suivant tn+1.

La sortie Qn+1 ne dépendpas uniquement de R et S

mais également de Qn(i.e. dela séquence).

t

Electronique Numerique 2012-2013 – p. 5/18

IV - Logique séquentielle

IV.B.1.b - Tableau de Karnaugh de Qn+1

"L’état de repos" correspond à R = S = 1. Cela confère la fonction mèmoire à la basculeRS.

On note Qn l’état de la sortieà l’instant tn et Qn+1 l’état àl’instant suivant tn+1.

La sortie Qn+1 ne dépendpas uniquement de R et S

mais également de Qn(i.e. dela séquence).

Sequence 1 :

tn : R = 0 ; S = 1 ⇒ Qn = 1 (Q′

n = 0)

tn+1 : R = 1 ; S = 1 ⇒ Qn+1 = 1 (Q′

n+1= 0)

Sequence 2 :

tn : R = 1 ; S = 0 ⇒ Qn = 0 (Q′

n = 1)

tn+1 : R = 1 ; S = 1 ⇒ Qn+1 = 0 (Q′

n+1 = 1)

Tableau de Karnaugh de Qn+1 :P

PP

PP

PP

Qn

RS00 01 11 10

0 1 1 0 0

1 1 1 1 0

t

Electronique Numerique 2012-2013 – p. 5/18

IV - Logique séquentielle

IV.B.1.b - Tableau de Karnaugh de Qn+1

"L’état de repos" correspond à R = S = 1. Cela confère la fonction mèmoire à la basculeRS.

On note Qn l’état de la sortieà l’instant tn et Qn+1 l’état àl’instant suivant tn+1.

La sortie Qn+1 ne dépendpas uniquement de R et S

mais également de Qn(i.e. dela séquence).

Sequence 1 :

tn : R = 0 ; S = 1 ⇒ Qn = 1 (Q′

n = 0)

tn+1 : R = 1 ; S = 1 ⇒ Qn+1 = 1 (Q′

n+1= 0)

Sequence 2 :

tn : R = 1 ; S = 0 ⇒ Qn = 0 (Q′

n = 1)

tn+1 : R = 1 ; S = 1 ⇒ Qn+1 = 0 (Q′

n+1 = 1)

Tableau de Karnaugh de Qn+1 :P

PP

PP

PP

Qn

RS00 01 11 10

0 1 1 0 0

1 1 1 1 0

Qn+1 = R + S.Qn

0

1

t

0

1

t

0

1

tQ

S

R

Electronique Numerique 2012-2013 – p. 5/18

IV - Logique séquentielle

IV.B.1.b - Tableau de Karnaugh de Qn+1

"L’état de repos" correspond à R = S = 1. Cela confère la fonction mèmoire à la basculeRS.

On note Qn l’état de la sortieà l’instant tn et Qn+1 l’état àl’instant suivant tn+1.

La sortie Qn+1 ne dépendpas uniquement de R et S

mais également de Qn(i.e. dela séquence).

Sequence 1 :

tn : R = 0 ; S = 1 ⇒ Qn = 1 (Q′

n = 0)

tn+1 : R = 1 ; S = 1 ⇒ Qn+1 = 1 (Q′

n+1= 0)

Sequence 2 :

tn : R = 1 ; S = 0 ⇒ Qn = 0 (Q′

n = 1)

tn+1 : R = 1 ; S = 1 ⇒ Qn+1 = 0 (Q′

n+1 = 1)

Tableau de Karnaugh de Qn+1 :P

PP

PP

PP

Qn

RS00 01 11 10

0 1 1 0 0

1 1 1 1 0

Qn+1 = R + S.Qn

0

1

t

0

1

t

0

1

tQ

S

R

Electronique Numerique 2012-2013 – p. 5/18

IV - Logique séquentielle

IV.B - BasculesIV.B.2 - Bascules RSH avec des portes NAND

Electronique Numerique 2012-2013 – p. 6/18

IV - Logique séquentielle

IV.B - BasculesIV.B.2 - Bascules RSH avec des portes NAND

La bascule RS est dite “asynchrone”. La sortie dépend à tout instant de la successiondes combinaisons appliquées.

La bascule RSH évolue au rhytme d’un signal d’horloge. L’action des entrées sur l’état

de mémorisation ne sera effective qu’à la réception d’un front d’horloge. On parle alors

de bascule “synchrone”.

Electronique Numerique 2012-2013 – p. 6/18

IV - Logique séquentielle

IV.B - BasculesIV.B.2 - Bascules RSH avec des portes NAND

La bascule RS est dite “asynchrone”. La sortie dépend à tout instant de la successiondes combinaisons appliquées.

La bascule RSH évolue au rhytme d’un signal d’horloge. L’action des entrées sur l’état

de mémorisation ne sera effective qu’à la réception d’un front d’horloge. On parle alors

de bascule “synchrone”.

Les bascules, actives lorsque l’entrée d’horloge passe del’état bas à l’état haut ( ), sont dites actives sur frontmontant.Les bascules, actives lorsque l’entrée d’horloge passe del’état haut à l’état bas ( ), sont dites actives sur frontdescendant.

Pour passer d’une bascule active sur front montant à une

bascule active sur front descendant, il suffit de rajouter un

inverseur.

R

S

Q

Q

R

S

Q

QH

H

Electronique Numerique 2012-2013 – p. 6/18

IV - Logique séquentielle

Nous avons :

8

<

:

R1 = R.H

S1 = S.H

or, Qn+1 = R1 + S1.Qn

d’où Qn+1 = R.H + S.H.Qn

Si H = 0 : Qn+1 = Qn

(R,S inoperant)

Si H = 1 : Qn+1 = R + S.Qn

Q

Q’

R

S

R

S

H

1

1

Quand H = 0, c’est le mode bloqué.

t

Electronique Numerique 2012-2013 – p. 7/18

IV - Logique séquentielle

Nous avons :

8

<

:

R1 = R.H

S1 = S.H

or, Qn+1 = R1 + S1.Qn

d’où Qn+1 = R.H + S.H.Qn

Si H = 0 : Qn+1 = Qn

(R,S inoperant)

Si H = 1 : Qn+1 = R + S.Qn

Q

Q’

R

S

R

S

H

1

1

Quand H = 0, c’est le mode bloqué.

S

R

H

Q

0

1

0

1

0

1

0

1

t

t

t

t

Cond. Init. Qn = R = S = H = 0

Electronique Numerique 2012-2013 – p. 7/18

IV - Logique séquentielle

Nous avons :

8

<

:

R1 = R.H

S1 = S.H

or, Qn+1 = R1 + S1.Qn

d’où Qn+1 = R.H + S.H.Qn

Si H = 0 : Qn+1 = Qn

(R,S inoperant)

Si H = 1 : Qn+1 = R + S.Qn

Q

Q’

R

S

R

S

H

1

1

Quand H = 0, c’est le mode bloqué.

S

R

H

Q

0

1

0

1

0

1

0

1

t

t

t

t

Cond. Init. Qn = R = S = H = 0

R S Qn+1

0 0 Qn

0 1 0

1 0 1

1 1 1

R = S = 1 : Inutilisee

Electronique Numerique 2012-2013 – p. 7/18

IV - Logique séquentielle

Nous avons :

8

<

:

R1 = R.H

S1 = S.H

or, Qn+1 = R1 + S1.Qn

d’où Qn+1 = R.H + S.H.Qn

Si H = 0 : Qn+1 = Qn

(R,S inoperant)

Si H = 1 : Qn+1 = R + S.Qn

Q

Q’

R

S

R

S

H

1

1

Quand H = 0, c’est le mode bloqué.

S

R

H

Q

0

1

0

1

0

1

0

1

t

t

t

t

Cond. Init. Qn = R = S = H = 0

R S Qn+1

0 0 Qn

0 1 0

1 0 1

1 1 1

R = S = 1 : Inutilisee

Quand H = 1, c’est unebascule R S asynchrone.On a deux états commandés.On a un état mémoire.Fonctionnement “synchrone”(ou flip-flop) pour ou

Electronique Numerique 2012-2013 – p. 7/18

IV - Logique séquentielle

IV.B.3 - Bascules D-Latch "verrou"

t

Electronique Numerique 2012-2013 – p. 8/18

IV - Logique séquentielle

IV.B.3 - Bascules D-Latch "verrou"

Q

Q’

H

D

H

Q

0

1

0

1

t

t

D

0

1

t

Electronique Numerique 2012-2013 – p. 8/18

IV - Logique séquentielle

IV.B.3 - Bascules D-Latch "verrou"

Q

Q’

H

D

H

Q

0

1

0

1

t

t

D

0

1

t

C’est une bascule RS avec S = R.

La combinaison R = S est impossible.

C’est un montage suiveur qui recopiel’entrée.

Nous avions Qn+1 = R.H + S.H.Qn.

H = 1 ⇒ Qn+1 = D (suiveur)

H = 0 ⇒ Qn+1 = Qn (verrou)

d’où Qn+1 = D.H + H.Qn

Remarque : On ne parle plus d’entrée d’horloge mais de validation.

Electronique Numerique 2012-2013 – p. 8/18

IV - Logique séquentielle

IV.B.3 - Bascules D-Latch "verrou"

Q

Q’

H

D

H

Q

0

1

0

1

t

t

D

0

1

t

C’est une bascule RS avec S = R.

La combinaison R = S est impossible.

C’est un montage suiveur qui recopiel’entrée.

Nous avions Qn+1 = R.H + S.H.Qn.

H = 1 ⇒ Qn+1 = D (suiveur)

H = 0 ⇒ Qn+1 = Qn (verrou)

d’où Qn+1 = D.H + H.Qn

Remarque : On ne parle plus d’entrée d’horloge mais de validation.

IV.B.4 - Seuil de transitionLes transitions d’un état bas vers un état haut (et inversement), ne se font qu’au delà(en deça) d’un certain seuil.

Cela permet de se prémunir d’éventuelles fluctuations.

Electronique Numerique 2012-2013 – p. 8/18

IV - Logique séquentielle

Exemple : porte inverseuse, identité

VL max

VH min

H

0

1

tt0 t1 t2 t3 t4

0 t

5

H

0

1

t

S (V)

H

H

S

S

Les portes logiques, possèdent unseuil en tension permettant decaractériser un niveau haut "1" et unniveau bas "0".

Les portes possèdent un état de sortiepar défaut (habituellement bas).

La porte inverseuse passe en sortie à"0" dès que S > VLmax et repasse à"1" dès que S < VLmax.

La porte identité passe à "1" quand S >

VHmin et repasse à "0" dès que S <

VHmin.

Electronique Numerique 2012-2013 – p. 9/18

IV - Logique séquentielle

Exemple : porte inverseuse, identité

VL max

VH min

H

0

1

tt0 t1 t2 t3 t4

0 t

5

H

0

1

t

S (V)

H

H

S

S

Les portes logiques, possèdent unseuil en tension permettant decaractériser un niveau haut "1" et unniveau bas "0".

Les portes possèdent un état de sortiepar défaut (habituellement bas).

La porte inverseuse passe en sortie à"0" dès que S > VLmax et repasse à"1" dès que S < VLmax.

La porte identité passe à "1" quand S >

VHmin et repasse à "0" dès que S <

VHmin.

IV.B.5 - Bascule D Maitre-EsclavePosition du probl eme : Comment obtenir un effet "verrou" uniquement sur un front montantou descendant .La solution est d’associer 2 bascules en cascade, la 2ème étant asservie par la première.

Electronique Numerique 2012-2013 – p. 9/18

IV - Logique séquentielle

L’horloge de la 1ière bascule est inversée par rapport à la 2ème.L’entrée de l’esclave recopie la sortie QM du maître.

Q M

Q S

D

H

H

Electronique Numerique 2012-2013 – p. 10/18

IV - Logique séquentielle

L’horloge de la 1ière bascule est inversée par rapport à la 2ème.L’entrée de l’esclave recopie la sortie QM du maître.

Q M

Q S

D

H

H

VL max

VH min

H

0

1

tt0 t1 t2 t3 t4

0 t

5

H

0

1

t

S (V)

La transition différée du maître et del’esclave permet une transmissionuniquement durant le front montant.

De t0 à t1, le maître est en "suiveur" et l’esclaveen "verrou" : QM = D

De t1 à t2, le maître est en "verrou" et l’esclaveen "verrou" : QM = D(t1)

De t2 à t3, le maître est en "verrou" et l’esclaveen "suiveur": QS = QM = D(t1)

De t3 à t4, le maître est en "verrou" et l’esclaveen "verrou": QS = D(t1)

Au delà de t4, l’esclave reste en "verrou": QS =

D(t1)

Electronique Numerique 2012-2013 – p. 10/18

IV - Logique séquentielle

IV.B.6 - Bascule JK

t

Electronique Numerique 2012-2013 – p. 11/18

IV - Logique séquentielle

IV.B.6 - Bascule JK

Q

Q

H

K

J R1

1S

t

Electronique Numerique 2012-2013 – p. 11/18

IV - Logique séquentielle

IV.B.6 - Bascule JK

Q

Q

H

K

J R1

1S

Nous avions : Qn+1 = R1 + S1.Qn.

De plus, R1 = J.H.Qn et S1 = K.H.Qn.D’où, Qn+1 = J.H.Qn + K.H.Qn.Qn.

On obtient ainsi :

Qn+1 = J.H.Qn + K.H.Qn

t

Electronique Numerique 2012-2013 – p. 11/18

IV - Logique séquentielle

IV.B.6 - Bascule JK

Q

Q

H

K

J R1

1S

Nous avions : Qn+1 = R1 + S1.Qn.

De plus, R1 = J.H.Qn et S1 = K.H.Qn.D’où, Qn+1 = J.H.Qn + K.H.Qn.Qn.

On obtient ainsi :

Qn+1 = J.H.Qn + K.H.Qn

J K Qn+1

0 0 Qn

0 1 0

1 0 1

1 1 Qn

t

Electronique Numerique 2012-2013 – p. 11/18

IV - Logique séquentielle

IV.B.6 - Bascule JK

Q

Q

H

K

J R1

1S

Nous avions : Qn+1 = R1 + S1.Qn.

De plus, R1 = J.H.Qn et S1 = K.H.Qn.D’où, Qn+1 = J.H.Qn + K.H.Qn.Qn.

On obtient ainsi :

Qn+1 = J.H.Qn + K.H.Qn

J K Qn+1

0 0 Qn

0 1 0

1 0 1

1 1 Qn

K

H

Q

0

1

0

1

0

1

0

1

t

t

t

t

J

Electronique Numerique 2012-2013 – p. 11/18

IV - Logique séquentielle

IV.B.6 - Bascule JK

Q

Q

H

K

J R1

1S

Nous avions : Qn+1 = R1 + S1.Qn.

De plus, R1 = J.H.Qn et S1 = K.H.Qn.D’où, Qn+1 = J.H.Qn + K.H.Qn.Qn.

On obtient ainsi :

Qn+1 = J.H.Qn + K.H.Qn

J K Qn+1

0 0 Qn

0 1 0

1 0 1

1 1 Qn

K

H

Q

0

1

0

1

0

1

0

1

t

t

t

t

J

Il n’y a plus d’ambiguité pour J = K = 1 contrairement à la bascule RSH.

Electronique Numerique 2012-2013 – p. 11/18

IV - Logique séquentielle

IV.C - CompteurIV.C.1 - Compteur asynchrone modulo 2

n

t

Electronique Numerique 2012-2013 – p. 12/18

IV - Logique séquentielle

IV.C - CompteurIV.C.1 - Compteur asynchrone modulo 2

n

Les compteurs asynchrones (ou encore série) sont basés sur des bascules JK ensérie avec J = K = 1.Le changement d’état se fait impérativement sur front descendant ( décompteur).

Le terme "modulo" désigne le nombre d’états distincts en sortie.

Qa Qb QcJ

K

J

K

J

KH

Qa

Qb

Qc

0

1

t

0

1

t

0

1

t

0

1

t

H

0 0

0

0

0

0 0

0

0

0 0

1

1

1

1

1

11

1 1

11

1

0 0

0

0

Electronique Numerique 2012-2013 – p. 12/18

IV - Logique séquentielle

IV.C - CompteurIV.C.1 - Compteur asynchrone modulo 2

n

Les compteurs asynchrones (ou encore série) sont basés sur des bascules JK ensérie avec J = K = 1.Le changement d’état se fait impérativement sur front descendant ( décompteur).

Le terme "modulo" désigne le nombre d’états distincts en sortie.

Qa Qb QcJ

K

J

K

J

KH

Qa

Qb

Qc

0

1

t

0

1

t

0

1

t

0

1

t

H

0 0

0

0

0

0 0

0

0

0 0

1

1

1

1

1

11

1 1

11

1

0 0

0

0

Avec 3 bascules ensérie, nous avons uncompteur modulo 8.Pour n basculesnous avons uncompteur modulo2n.Qa représente leLSB et Qc leMSB.

Electronique Numerique 2012-2013 – p. 12/18

IV - Logique séquentielle

SE

0

1

0

1

t

t

S

E

tLH

L’inconvénient du compteur est le délai de propagationentre les entrées et les sorties.Il existe un délai (tLH ) entre le changement à l’entrée etle changement en sortie.Ce délai se cummule entre les différentes bascules.

t

Electronique Numerique 2012-2013 – p. 13/18

IV - Logique séquentielle

SE

0

1

0

1

t

t

S

E

tLH

L’inconvénient du compteur est le délai de propagationentre les entrées et les sorties.Il existe un délai (tLH ) entre le changement à l’entrée etle changement en sortie.Ce délai se cummule entre les différentes bascules.

IV.C.2 - Compteur synchrone

Qa

QbJ=0

bJ=1

bJ=0

bJ=1

b

J .Q =0bb J .Q =0bb J .Q =0bb J .Q =1bbQc

0

1

t

0

1

0

1

0

1

H

t

t

ttLH tHL

Qa QbJ

K

J

K

QcJ

KH1

Les entrée des bascules sont soit à 1

soit à 0 :J K Qn+1

0 0 Qn

1 1 Qn

Le délai de propagation est le mêmepour toute les bascules.Toute les bascules sont commandéespar la même horloge⇒ synchrone.

Du fait du délai de propagation, la bascule b ne change d’état que pour un front

descendant sur deux.

Electronique Numerique 2012-2013 – p. 13/18

IV - Logique séquentielle

IV.C.3 - Commande "Preset" et "Clear"IV.C.3.a - Bascule RS initialisable

t

Electronique Numerique 2012-2013 – p. 14/18

IV - Logique séquentielle

IV.C.3 - Commande "Preset" et "Clear"IV.C.3.a - Bascule RS initialisable

Q

Q’

P

R

S

C

R

S

1

1

t

Electronique Numerique 2012-2013 – p. 14/18

IV - Logique séquentielle

IV.C.3 - Commande "Preset" et "Clear"IV.C.3.a - Bascule RS initialisable

Q

Q’

P

R

S

C

R

S

1

1

Nous avons : Qn+1 = R1 + S1.Qn

avec, R1 = R.P et S1 = S.C

Qn+1 = R.P + S.C.Qn

Qn+1 = R + P + S.C.Qn .

t

Electronique Numerique 2012-2013 – p. 14/18

IV - Logique séquentielle

IV.C.3 - Commande "Preset" et "Clear"IV.C.3.a - Bascule RS initialisable

Q

Q’

P

R

S

C

R

S

1

1

Nous avons : Qn+1 = R1 + S1.Qn

avec, R1 = R.P et S1 = S.C

Qn+1 = R.P + S.C.Qn

Qn+1 = R + P + S.C.Qn .

On considère l’état de mémorisation R = S = 1 :P = 1, C = 1 ⇒ Qn+1 = Qn (inchangé).

P = 0, C = 1 ⇒ Qn+1 = 1, sortie forcée à 1.

P = 1, C = 0 ⇒ Qn+1 = 0, sortie forcée à 0.

P = 0, C = 0 ⇒ Combinaison inutilisée.

t

Electronique Numerique 2012-2013 – p. 14/18

IV - Logique séquentielle

IV.C.3 - Commande "Preset" et "Clear"IV.C.3.a - Bascule RS initialisable

Q

Q’

P

R

S

C

R

S

1

1

Nous avons : Qn+1 = R1 + S1.Qn

avec, R1 = R.P et S1 = S.C

Qn+1 = R.P + S.C.Qn

Qn+1 = R + P + S.C.Qn .

On considère l’état de mémorisation R = S = 1 :P = 1, C = 1 ⇒ Qn+1 = Qn (inchangé).

P = 0, C = 1 ⇒ Qn+1 = 1, sortie forcée à 1.

P = 1, C = 0 ⇒ Qn+1 = 0, sortie forcée à 0.

P = 0, C = 0 ⇒ Combinaison inutilisée.

R

0

1

S

0

1

C

0

1

P

0

1

Q

0

1

t

t

t

t

t

Electronique Numerique 2012-2013 – p. 14/18

IV - Logique séquentielle

IV.C.3.b - compteurs modulo < 2n

Electronique Numerique 2012-2013 – p. 15/18

IV - Logique séquentielle

IV.C.3.b - compteurs modulo < 2n

Position du probl eme : On souhaite obtenir un compteur dont lemodulo N est diffèrent d’une puissance de 2.On utilise des bascules JK réinitialisables (possédant uneentrée "Clear")L’entrée "Clear" peut être active sur 0 ou 1.On définit une fonction f tel que :

f = 1 ("Clear" inactif) lorsque la combinaison est < N

f = 0 ("Clear" actif) lorsque la combinaison est égale à N

Electronique Numerique 2012-2013 – p. 15/18

IV - Logique séquentielle

IV.C.3.b - compteurs modulo < 2n

Position du probl eme : On souhaite obtenir un compteur dont lemodulo N est diffèrent d’une puissance de 2.On utilise des bascules JK réinitialisables (possédant uneentrée "Clear")L’entrée "Clear" peut être active sur 0 ou 1.On définit une fonction f tel que :

f = 1 ("Clear" inactif) lorsque la combinaison est < N

f = 0 ("Clear" actif) lorsque la combinaison est égale à N

J

K

Q

QCH

J

K

Q

QH

C

(clear actif sur 0)

(clear actif sur 1)

Electronique Numerique 2012-2013 – p. 15/18

IV - Logique séquentielle

IV.C.3.b - compteurs modulo < 2n

Position du probl eme : On souhaite obtenir un compteur dont lemodulo N est diffèrent d’une puissance de 2.On utilise des bascules JK réinitialisables (possédant uneentrée "Clear")L’entrée "Clear" peut être active sur 0 ou 1.On définit une fonction f tel que :

f = 1 ("Clear" inactif) lorsque la combinaison est < N

f = 0 ("Clear" actif) lorsque la combinaison est égale à N

J

K

Q

QCH

J

K

Q

QH

C

(clear actif sur 0)

(clear actif sur 1)

Exemple modulo 5 : (tableau de Karnaugh f )P

PP

PP

PP

Qc

QbQa00 01 11 10

0 0 0 0 0

1 0 1 X X

f = Qc.Qa

Qa Qb QcJ

K

J

K

J

KH

C C C

Remarque : Les combinaisons Qc = 1 , Qb = 1 , Qa = 0 et Qc = 1 , Qb = 1 , Qa = 1

ne sont pas utilisées. On considère par commodité f = 0 pour (1, 1, 0) et f = 1 pour(1, 1, 1).

Electronique Numerique 2012-2013 – p. 15/18

IV - Logique séquentielle

IV.D - Registres

t

Electronique Numerique 2012-2013 – p. 16/18

IV - Logique séquentielle

IV.D - RegistresLes registres sont des circuits séquentiels à n entrées de données et n sorties.Ils possèdent également une entrée d’horloge et une entrée de commande “Load”.Lorsque l’entrée “Load” est active, les entrées sont recopiées sur les sorties sur unfront d’horloge.

t

Electronique Numerique 2012-2013 – p. 16/18

IV - Logique séquentielle

IV.D - RegistresLes registres sont des circuits séquentiels à n entrées de données et n sorties.Ils possèdent également une entrée d’horloge et une entrée de commande “Load”.Lorsque l’entrée “Load” est active, les entrées sont recopiées sur les sorties sur unfront d’horloge.

IV.D.1 - Registre à chargement parallèle

t

Electronique Numerique 2012-2013 – p. 16/18

IV - Logique séquentielle

IV.D - RegistresLes registres sont des circuits séquentiels à n entrées de données et n sorties.Ils possèdent également une entrée d’horloge et une entrée de commande “Load”.Lorsque l’entrée “Load” est active, les entrées sont recopiées sur les sorties sur unfront d’horloge.

IV.D.1 - Registre à chargement parallèleRealisation avec des bascules D (sans “Load”) :

Q2 Q1 Q0D2 D1 D0

H

Permet la mémorisation (stockage) d’un nombrebinaire.

L’incorporation de la fonction “Load” nécessiterait

un câblage spécifique.

t

Electronique Numerique 2012-2013 – p. 16/18

IV - Logique séquentielle

IV.D - RegistresLes registres sont des circuits séquentiels à n entrées de données et n sorties.Ils possèdent également une entrée d’horloge et une entrée de commande “Load”.Lorsque l’entrée “Load” est active, les entrées sont recopiées sur les sorties sur unfront d’horloge.

IV.D.1 - Registre à chargement parallèleRealisation avec des bascules D (sans “Load”) :

Q2 Q1 Q0D2 D1 D0

H

Permet la mémorisation (stockage) d’un nombrebinaire.

L’incorporation de la fonction “Load” nécessiterait

un câblage spécifique.

D0

D1

D20

1

0

1

t

t

0

1

tQ0

Q1

Q2

0

1H

t

0

1

0

1

t

t

0

1

t

Electronique Numerique 2012-2013 – p. 16/18

IV - Logique séquentielle

IV.D.2 - Registre à décalage

t

Electronique Numerique 2012-2013 – p. 17/18

IV - Logique séquentielle

IV.D.2 - Registre à décalageQ0 Q1D0 D1 Q3D3Q2D2

H

t

Electronique Numerique 2012-2013 – p. 17/18

IV - Logique séquentielle

IV.D.2 - Registre à décalageQ0 Q1D0 D1 Q3D3Q2D2

H

Q2

Q3

D0

Q0

Q1

0

1H

t

0

1

0

1

t

t

0

1

0

1

t

t

0

1

t

Electronique Numerique 2012-2013 – p. 17/18

IV - Logique séquentielle

IV.D.2 - Registre à décalageQ0 Q1D0 D1 Q3D3Q2D2

H

Q2

Q3

D0

Q0

Q1

0

1H

t

0

1

0

1

t

t

0

1

0

1

t

t

0

1

t

Le décalage se fait vers la droite (Di = Qi−1).Le décalage peut également se faire vers lagauche.Il est possible de combiner le chargementparallèle et le décalage.

Application : multiplieur

Electronique Numerique 2012-2013 – p. 17/18

IV - Logique séquentielle

IV.D.2 - Registre à décalageQ0 Q1D0 D1 Q3D3Q2D2

H

Q2

Q3

D0

Q0

Q1

0

1H

t

0

1

0

1

t

t

0

1

0

1

t

t

0

1

t

Le décalage se fait vers la droite (Di = Qi−1).Le décalage peut également se faire vers lagauche.Il est possible de combiner le chargementparallèle et le décalage.

Application : multiplieur

Decalage a gauche :

Q0 Q1D0 D1 Q3D3Q2D2

H

Electronique Numerique 2012-2013 – p. 17/18

IV - Logique séquentielle

IV.E - Remarques finales

Electronique Numerique 2012-2013 – p. 18/18

IV - Logique séquentielle

IV.E - Remarques finalesLes bascules étudiées ici sont des bascules dites bistables ou de type flip-flop : lesdeux niveaux de sorties sont stables et exclusivement fonctions :

De l’ordre de basculement des entrées de commande (R, S, J, K, D, T...).

De l’horloge (H) et du basculement des entrées directes (C, P).

Les temps de propagation doivent être étudiés avec attention pour la réalisation descircuits à logique séquentielle, qui combinent les modules de base tels que compteurs,registres. Le chronogramme est un outil essentiel pour la conception des circuits. Lemode synchrone est recommandé pour les circuits complexes et/ou rapides.

Le temps de propagation dépend de la technologie utilisée. Ex: technologie CMOS(basée sur transistors à effet de champ ou FET) moins rapide que la technologie TTL(sur les transistors bipolaires).

Les bascules dites astables et monostables possèdent un voir deux niveaux qui ne

peu(ven)t être occupé(s) que pendant un temps limité. Ces bascules rentrent en

compte dans la réalisation des horloges numériques.

Electronique Numerique 2012-2013 – p. 18/18

top related